diff options
author | crupest <crupest@outlook.com> | 2021-12-24 19:35:39 +0800 |
---|---|---|
committer | crupest <crupest@outlook.com> | 2021-12-24 19:35:39 +0800 |
commit | 38b764b69b3789b4391e9c5a0a6d59c73752c708 (patch) | |
tree | 966806a63ed3879c8be55976e46327a29a2de4d4 /works/life | |
parent | 496f67d0c03a1b61153b565f67ffbfcc9f6ed3a6 (diff) | |
download | crupest-38b764b69b3789b4391e9c5a0a6d59c73752c708.tar.gz crupest-38b764b69b3789b4391e9c5a0a6d59c73752c708.tar.bz2 crupest-38b764b69b3789b4391e9c5a0a6d59c73752c708.zip |
import(life): ...
Diffstat (limited to 'works/life')
-rw-r--r-- | works/life/computer-organization-experiment/alu.vhdl | 4 |
1 files changed, 2 insertions, 2 deletions
diff --git a/works/life/computer-organization-experiment/alu.vhdl b/works/life/computer-organization-experiment/alu.vhdl index 75a9961..5eed3df 100644 --- a/works/life/computer-organization-experiment/alu.vhdl +++ b/works/life/computer-organization-experiment/alu.vhdl @@ -13,8 +13,8 @@ end entity; architecture Behavioral of alu is begin S <= A + B when ALUC(2 downto 0) = B"000" - else A and B when ALUC(2 downto 0) ?= B"001" - else A - B when ALUC(2 downto 0) ?= B"100" + else A - B when ALUC(2 downto 0) ?= B"001" + else A and B when ALUC(2 downto 0) ?= B"100" else A or B when ALUC(2 downto 0) ?= B"101" else A xor B when ALUC(2 downto 0) ?= B"010" else std_logic_vector(signed(A) sll 16) and H"FFFF0000" when ALUC(2 downto 0) ?= B"110" |