diff options
| author | crupest <crupest@outlook.com> | 2021-12-25 22:07:55 +0800 |
|---|---|---|
| committer | crupest <crupest@outlook.com> | 2021-12-25 22:07:55 +0800 |
| commit | 223c1b6ddd6907787853c7b7cce3a8f4910d2089 (patch) | |
| tree | c00df1529a0405f64c339924f2797923493ba528 /works | |
| parent | 9af60bca5cb83866cba9f04aba7e71ac1f83dd16 (diff) | |
| download | crupest-223c1b6ddd6907787853c7b7cce3a8f4910d2089.tar.gz crupest-223c1b6ddd6907787853c7b7cce3a8f4910d2089.tar.bz2 crupest-223c1b6ddd6907787853c7b7cce3a8f4910d2089.zip | |
import(life): ...
Diffstat (limited to 'works')
| -rw-r--r-- | works/life/computer-organization-experiment/Makefile | 7 | ||||
| -rw-r--r-- | works/life/computer-organization-experiment/cpu.vhdl | 206 | ||||
| -rw-r--r-- | works/life/computer-organization-experiment/out.vcd | 37568 |
3 files changed, 37724 insertions, 57 deletions
diff --git a/works/life/computer-organization-experiment/Makefile b/works/life/computer-organization-experiment/Makefile index fe68a53..0b4db7f 100644 --- a/works/life/computer-organization-experiment/Makefile +++ b/works/life/computer-organization-experiment/Makefile @@ -1,5 +1,8 @@ -build/cpu.o: build - ghdl analyze --std=08 --workdir=build -fsynopsys cpu.vhdl +build/cpu_test_bench: build/cpu.o + ghdl elaborate -g --std=08 --workdir=build -fsynopsys -o build/cpu_test_bench cpu_test_bench + +build/cpu.o: build cpu.vhdl + ghdl analyze -g --std=08 --workdir=build -fsynopsys cpu.vhdl all: build/test_bench diff --git a/works/life/computer-organization-experiment/cpu.vhdl b/works/life/computer-organization-experiment/cpu.vhdl index 4334c42..e34e10f 100644 --- a/works/life/computer-organization-experiment/cpu.vhdl +++ b/works/life/computer-organization-experiment/cpu.vhdl @@ -1,18 +1,13 @@ library ieee; use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -use ieee.std_logic_unsigned.all; package cru is subtype word is std_logic_vector(31 downto 0); constant clock_time : time := 10 ns; - type memory_type is array (0 to 1023) of std_logic_vector(31 downto 0); end package; library ieee; use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -use ieee.std_logic_unsigned.all; use work.cru.all; entity reg is @@ -26,7 +21,7 @@ entity reg is end entity; architecture Behavioral of reg is - signal V: word; + signal V: word := (others => '0'); begin process(CLK) begin @@ -53,7 +48,6 @@ entity register_file is port ( CLK: in std_logic; ENABLE: in std_logic; - ZERO1, ZERO2: in std_logic; R1, R2, W: in std_logic_vector(4 downto 0); WD: in std_logic_vector(31 downto 0); RD1, RD2: out std_logic_vector(31 downto 0) @@ -67,16 +61,8 @@ begin process (CLK) begin if rising_edge(CLK) then - if ZERO1 = '1' then - RD1 <= (others => '0'); - else - RD1 <= reg_file(to_integer(unsigned(R1))); - end if; - if ZERO2 = '1' then - RD2 <= (others => '0'); - else - RD2 <= reg_file(to_integer(unsigned(R2))); - end if; + RD1 <= reg_file(to_integer(unsigned(R1))); + RD2 <= reg_file(to_integer(unsigned(R2))); end if; if falling_edge(CLK) and ENABLE = '1' then reg_file(to_integer(unsigned(W))) <= WD; @@ -124,8 +110,84 @@ entity clock is end entity; architecture Behavioral of clock is + signal V: std_logic := '0'; +begin + V <= not V after clock_time; + CLK <= V; +end architecture; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.std_logic_unsigned.all; +use work.cru.all; + +entity ram is + port(CLK: in std_logic; + D: inout word; + ADDR: in word; + ENABLE: in std_logic + ); +end entity; + +architecture Behavioral of ram is + type memory_type is array (0 to 16#30#) of word; + signal memory: memory_type; + signal V: std_logic := '0'; begin - CLK <= not CLK after clock_time; + init: process is + begin + memory(0 to 16#1F#) <= ( + X"3c010000", + X"34240020", + X"20050004", + X"0c000018", + X"ac820000", + X"8c890000", + X"01244022", + X"20050003", + X"20a5ffff", + X"34a8ffff", + X"39085555", + X"2009ffff", + X"312affff", + X"01493025", + X"01494026", + X"01463824", + X"10a00001", + X"08000008", + X"2005ffff", + X"000543c0", + X"00084400", + X"00084403", + X"000843c2", + X"08000017", + X"00004020", + X"8c890000", + X"20840004", + X"01094020", + X"20a5ffff", + X"14a0fffb", + X"00081000", + X"03e00008" + ); + memory(16#20# to 16#20# + 3) <= ( + X"000000A3", + X"00000027", + X"00000079", + X"00000115" + ); + wait; + end process; + b: process(CLK) is + begin + if rising_edge(CLK) then + D <= memory(to_integer(unsigned(ADDR))); + end if; + if falling_edge(CLK) and ENABLE = '1' then + memory(to_integer(unsigned(ADDR))) <= D; + end if; + end process; end architecture; library ieee; @@ -135,25 +197,33 @@ use ieee.std_logic_unsigned.all; use work.cru.all; entity cpu is - port (memory: inout memory_type); + port ( + CLK: in std_logic + ); end entity; architecture Behavioral of cpu is - signal pc: std_logic_vector(31 downto 0); - signal pc_to_write: std_logic_vector(31 downto 0); + signal pc: word := X"00000000"; + signal pc_to_write: word; + + signal ins: word; signal enable_mem: std_logic; - signal write_mem: std_logic; + signal write_mem: std_logic := '0'; + signal addr: word := X"00000000"; + signal mem_value: word; - signal CLK: std_logic; - signal WRITE_REG: std_logic; - signal ZERO1, ZERO2: std_logic; - signal R1, R2, W: std_logic_vector(4 downto 0); + signal WRITE_REG: std_logic := '0'; + signal R1: std_logic_vector(4 downto 0) := B"00000"; + signal R2: std_logic_vector(4 downto 0) := B"00000"; + signal W: std_logic_vector(4 downto 0) := B"00000"; signal WD: std_logic_vector(31 downto 0); - signal RD1, RD2: std_logic_vector(31 downto 0); + signal RD1: std_logic_vector(31 downto 0) := X"00000000"; + signal RD2: std_logic_vector(31 downto 0) := X"00000000"; - signal A, B: std_logic_vector(31 downto 0); - signal ALUC: std_logic_vector(3 downto 0); + signal A: std_logic_vector(31 downto 0) := X"00000000"; + signal B: std_logic_vector(31 downto 0) := X"00000000"; + signal ALUC: std_logic_vector(3 downto 0) := B"0000"; signal S: std_logic_vector(31 downto 0); signal Z: std_logic; begin @@ -169,8 +239,6 @@ begin port map( CLK => CLK, ENABLE => WRITE_REG, - ZERO1 => ZERO1, - ZERO2 => ZERO2, R1 => R1, R2 => R2, W => W, @@ -186,25 +254,34 @@ begin S => S, Z => Z ); + + ram: entity work.ram + port map ( + CLK => CLK, + D => mem_value, + ADDR => addr, + ENABLE => write_mem + ); + logic: process is - variable ins: std_logic_vector(31 downto 0); begin - ins := memory(to_integer(unsigned(pc))); - pc_to_write <= pc + B"1"; + wait until rising_edge(CLK); + wait for 100 ps; + + addr <= pc; + wait for 1 ns; + ins <= mem_value; + pc_to_write <= pc + 1; WRITE_REG <= '0'; - enable_mem <= '0'; - - - if ins(31 downto 16) = B"000010" then - pc_to_write(25 downto 0) <= ins(25 downto 0); - pc_to_write(31 downto 26) <= (others => '0'); - elsif ins(31 downto 16) = B"000011" then - W <= B"00001"; - WD <= pc_to_write; - WRITE_REG <= '1'; - pc_to_write(25 downto 0) <= ins(25 downto 0); - pc_to_write(31 downto 26) <= (others => '0'); + + if ins(31 downto 27) ?= B"00001" then -- j / jal + if ins(26) = '1' then -- jal + W <= B"11111"; + WD <= pc; + WRITE_REG <= '1'; + end if; + pc_to_write <= (25 downto 0 => ins(25 downto 0), others => '0'); elsif ins(31 downto 26) = B"000000" then if ins(5) = '1' then R1 <= ins(25 downto 21); @@ -220,8 +297,7 @@ begin ALUC(3 downto 2) <= ins(1 downto 0); ALUC(1 downto 0) <= B"11"; A <= RD1; - B(31 downto 5) <= (others => '0'); - B(4 downto 0) <= ins(10 downto 6); + B <= (4 downto 0 => ins(10 downto 6), others => '0'); W <= ins(15 downto 11); WRITE_REG <= '1'; WD <= S; @@ -236,8 +312,7 @@ begin R1 <= ins(25 downto 21); ALUC <= B"0000"; A <= RD1; - B(15 downto 0) <= ins(15 downto 0); - B(31 downto 16) <= X"0000"; + B <= (15 downto 0 => ins(15 downto 0), others => '0' ); if ins(29) = '1' then W <= ins(20 downto 16); write_mem <= '0'; @@ -274,14 +349,35 @@ begin if enable_mem then if write_mem then WRITE_REG <= '0'; - memory(to_integer(unsigned(S))) <= RD2; + mem_value <= RD2; else WRITE_REG <= '1'; - WD <= memory(to_integer(unsigned(S))); + WD <= mem_value; end if; + else + write_mem <= '0'; end if; - - wait for 1ns; - end process; end architecture; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.std_logic_unsigned.all; +use work.cru.all; + +entity cpu_test_bench is +end entity; + +architecture Behavioral of cpu_test_bench is + signal CLK: std_logic; +begin + clock: entity work.clock + port map ( + CLK + ); + cpu : entity work.cpu + port map ( + CLK + ); +end architecture; diff --git a/works/life/computer-organization-experiment/out.vcd b/works/life/computer-organization-experiment/out.vcd new file mode 100644 index 0000000..f5bcf61 --- /dev/null +++ b/works/life/computer-organization-experiment/out.vcd @@ -0,0 +1,37568 @@ +$date + Sat Dec 25 14:07:37 2021 +$end +$version + GHDL v0 +$end +$timescale + 1 fs +$end +$scope module standard $end +$upscope $end +$scope module textio $end +$upscope $end +$scope module std_logic_1164 $end +$upscope $end +$scope module numeric_std $end +$upscope $end +$scope module std_logic_arith $end +$upscope $end +$scope module std_logic_unsigned $end +$upscope $end +$scope module cru $end +$upscope $end +$scope module cpu_test_bench $end +$var reg 1 ! clk $end +$scope module clock $end +$var reg 1 " clk $end +$var reg 1 # v $end +$upscope $end +$scope module cpu $end +$var reg 1 $ clk $end +$var reg 32 % pc[31:0] $end +$var reg 32 & pc_to_write[31:0] $end +$var reg 32 ' ins[31:0] $end +$var reg 1 ( enable_mem $end +$var reg 1 ) write_mem $end +$var reg 32 * addr[31:0] $end +$var reg 32 + mem_value[31:0] $end +$var reg 1 , write_reg $end +$var reg 5 - r1[4:0] $end +$var reg 5 . r2[4:0] $end +$var reg 5 / w[4:0] $end +$var reg 32 0 wd[31:0] $end +$var reg 32 1 rd1[31:0] $end +$var reg 32 2 rd2[31:0] $end +$var reg 32 3 a[31:0] $end +$var reg 32 4 b[31:0] $end +$var reg 4 5 aluc[3:0] $end +$var reg 32 6 s[31:0] $end +$var reg 1 7 z $end +$scope module pc_reg $end +$var reg 1 8 clk $end +$var reg 32 9 w[31:0] $end +$var reg 1 : enable $end +$var reg 1 ; zero $end +$var reg 32 < r[31:0] $end +$var reg 32 = v[31:0] $end +$upscope $end +$scope module reg $end +$var reg 1 > clk $end +$var reg 1 ? enable $end +$var reg 5 @ r1[4:0] $end +$var reg 5 A r2[4:0] $end +$var reg 5 B w[4:0] $end +$var reg 32 C wd[31:0] $end +$var reg 32 D rd1[31:0] $end +$var reg 32 E rd2[31:0] $end +$comment reg_file is not handled $end +$upscope $end +$scope module alu $end +$var reg 32 F a[31:0] $end +$var reg 32 G b[31:0] $end +$var reg 4 H aluc[3:0] $end +$var reg 32 I s[31:0] $end +$var reg 1 J z $end +$upscope $end +$scope module ram $end +$var reg 1 K clk $end +$var reg 32 L d[31:0] $end +$var reg 32 M addr[31:0] $end +$var reg 1 N enable $end +$comment data is not handled $end +$var reg 1 O v $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +0! +0" +0# +0$ +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU % +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU & +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU ' +U( +0) +b00000000000000000000000000000000 * +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU + +0, +b00000 - +b00000 . +b00000 / +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU 0 +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU 1 +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU 2 +b00000000000000000000000000000000 3 +b00000000000000000000000000000000 4 +b0000 5 +b00000000000000000000000000000000 6 +17 +08 +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU 9 +1: +0; +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU < +b00000000000000000000000000000000 = +0> +0? +b00000 @ +b00000 A +b00000 B +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU C +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU D +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU E +b00000000000000000000000000000000 F +b00000000000000000000000000000000 G +b0000 H +b00000000000000000000000000000000 I +1J +0K +bUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU L +b00000000000000000000000000000000 M +0N +0O +#10000000 +1! +1" +1# +1$ +b00000000000000000000000000000000 % +b00000000000000000000000000000000 1 +b00000000000000000000000000000000 2 +18 +b00000000000000000000000000000000 < +1> +b00000000000000000000000000000000 D +b00000000000000000000000000000000 E +1K +#10100000 +#11100000 +bXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX & +bUUUUU - +bUUUUU . +b0010 5 +bXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX 9 +bUUUUU @ +bUUUUU A +b0010 H +#20000000 +0! +0" +0# +0$ +08 +bXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX = +0> +0K +#30000000 +1! +1" +1# +1$ +bXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX % +18 +bXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX < +1> +1K +#30100000 +bXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX * +bXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX M +#31100000 +#40000000 +0! +0" +0# +0$ +08 +0> +0K +#50000000 +1! +1" +1# +1$ +18 +1> +1K +#50100000 +#51100000 +#60000000 +0! +0" +0# +0$ +08 +0> +0K +#70000000 +1! +1" +1# +1$ +18 +1> +1K +#70100000 +#71100000 +#80000000 +0! +0" +0# +0$ +08 +0> +0K +#90000000 +1! +1" +1# +1$ +18 +1> +1K +#90100000 +#91100000 +#100000000 +0! +0" +0# +0$ +08 +0> +0K +#110000000 +1! +1" +1# +1$ +18 +1> +1K +#110100000 +#111100000 +#120000000 +0! +0" +0# +0$ +08 +0> +0K +#130000000 +1! +1" +1# +1$ +18 +1> +1K +#130100000 +#131100000 +#140000000 +0! +0" +0# +0$ +08 +0> +0K +#150000000 +1! +1" +1# +1$ +18 +1> +1K +#150100000 +#151100000 +#160000000 +0! +0" +0# +0$ +08 +0> +0K +#170000000 +1! +1" +1# +1$ +18 +1> +1K +#170100000 +#171100000 +#180000000 +0! +0" +0# +0$ +08 +0> +0K +#190000000 +1! +1" +1# +1$ +18 +1> +1K +#190100000 +#191100000 +#200000000 +0! +0" +0# +0$ +08 +0> +0K +#210000000 +1! +1" +1# +1$ +18 +1> +1K +#210100000 +#211100000 +#220000000 +0! +0" +0# +0$ +08 +0> +0K +#230000000 +1! +1" +1# +1$ +18 +1> +1K +#230100000 +#231100000 +#240000000 +0! +0" +0# +0$ +08 +0> +0K +#250000000 +1! +1" +1# +1$ +18 +1> +1K +#250100000 +#251100000 +#260000000 +0! +0" +0# +0$ +08 +0> +0K +#270000000 +1! +1" +1# +1$ +18 +1> +1K +#270100000 +#271100000 +#280000000 +0! +0" +0# +0$ +08 +0> +0K +#290000000 +1! +1" +1# +1$ +18 +1> +1K +#290100000 +#291100000 +#300000000 +0! +0" +0# +0$ +08 +0> +0K +#310000000 +1! +1" +1# +1$ +18 +1> +1K +#310100000 +#311100000 +#320000000 +0! +0" +0# +0$ +08 +0> +0K +#330000000 +1! +1" +1# +1$ +18 +1> +1K +#330100000 +#331100000 +#340000000 +0! +0" +0# +0$ +08 +0> +0K +#350000000 +1! +1" +1# +1$ +18 +1> +1K +#350100000 +#351100000 +#360000000 +0! +0" +0# +0$ +08 +0> +0K +#370000000 +1! +1" +1# +1$ +18 +1> +1K +#370100000 +#371100000 +#380000000 +0! +0" +0# +0$ +08 +0> +0K +#390000000 +1! +1" +1# +1$ +18 +1> +1K +#390100000 +#391100000 +#400000000 +0! +0" +0# +0$ +08 +0> +0K +#410000000 +1! +1" +1# +1$ +18 +1> +1K +#410100000 +#411100000 +#420000000 +0! +0" +0# +0$ +08 +0> +0K +#430000000 +1! +1" +1# +1$ +18 +1> +1K +#430100000 +#431100000 +#440000000 +0! +0" +0# +0$ +08 +0> +0K +#450000000 +1! +1" +1# +1$ +18 +1> +1K +#450100000 +#451100000 +#460000000 +0! +0" +0# +0$ +08 +0> +0K +#470000000 +1! +1" +1# +1$ +18 +1> +1K +#470100000 +#471100000 +#480000000 +0! +0" +0# +0$ +08 +0> +0K +#490000000 +1! +1" +1# +1$ +18 +1> +1K +#490100000 +#491100000 +#500000000 +0! +0" +0# +0$ +08 +0> +0K +#510000000 +1! +1" +1# +1$ +18 +1> +1K +#510100000 +#511100000 +#520000000 +0! +0" +0# +0$ +08 +0> +0K +#530000000 +1! +1" +1# +1$ +18 +1> +1K +#530100000 +#531100000 +#540000000 +0! +0" +0# +0$ +08 +0> +0K +#550000000 +1! +1" +1# +1$ +18 +1> +1K +#550100000 +#551100000 +#560000000 +0! +0" +0# +0$ +08 +0> +0K +#570000000 +1! +1" +1# +1$ +18 +1> +1K +#570100000 +#571100000 +#580000000 +0! +0" +0# +0$ +08 +0> +0K +#590000000 +1! +1" +1# +1$ +18 +1> +1K +#590100000 +#591100000 +#600000000 +0! +0" +0# +0$ +08 +0> +0K +#610000000 +1! +1" +1# +1$ +18 +1> +1K +#610100000 +#611100000 +#620000000 +0! +0" +0# +0$ +08 +0> +0K +#630000000 +1! +1" +1# +1$ +18 +1> +1K +#630100000 +#631100000 +#640000000 +0! +0" +0# +0$ +08 +0> +0K +#650000000 +1! +1" +1# +1$ +18 +1> +1K +#650100000 +#651100000 +#660000000 +0! +0" +0# +0$ +08 +0> +0K +#670000000 +1! +1" +1# +1$ +18 +1> +1K +#670100000 +#671100000 +#680000000 +0! +0" +0# +0$ +08 +0> +0K +#690000000 +1! +1" +1# +1$ +18 +1> +1K +#690100000 +#691100000 +#700000000 +0! +0" +0# +0$ +08 +0> +0K +#710000000 +1! +1" +1# +1$ +18 +1> +1K +#710100000 +#711100000 +#720000000 +0! +0" +0# +0$ +08 +0> +0K +#730000000 +1! +1" +1# +1$ +18 +1> +1K +#730100000 +#731100000 +#740000000 +0! +0" +0# +0$ +08 +0> +0K +#750000000 +1! +1" +1# +1$ +18 +1> +1K +#750100000 +#751100000 +#760000000 +0! +0" +0# +0$ +08 +0> +0K +#770000000 +1! +1" +1# +1$ +18 +1> +1K +#770100000 +#771100000 +#780000000 +0! +0" +0# +0$ +08 +0> +0K +#790000000 +1! +1" +1# +1$ +18 +1> +1K +#790100000 +#791100000 +#800000000 +0! +0" +0# +0$ +08 +0> +0K +#810000000 +1! +1" +1# +1$ +18 +1> +1K +#810100000 +#811100000 +#820000000 +0! +0" +0# +0$ +08 +0> +0K +#830000000 +1! +1" +1# +1$ +18 +1> +1K +#830100000 +#831100000 +#840000000 +0! +0" +0# +0$ +08 +0> +0K +#850000000 +1! +1" +1# +1$ +18 +1> +1K +#850100000 +#851100000 +#860000000 +0! +0" +0# +0$ +08 +0> +0K +#870000000 +1! +1" +1# +1$ +18 +1> +1K +#870100000 +#871100000 +#880000000 +0! +0" +0# +0$ +08 +0> +0K +#890000000 +1! +1" +1# +1$ +18 +1> +1K +#890100000 +#891100000 +#900000000 +0! +0" +0# +0$ +08 +0> +0K +#910000000 +1! +1" +1# +1$ +18 +1> +1K +#910100000 +#911100000 +#920000000 +0! +0" +0# +0$ +08 +0> +0K +#930000000 +1! +1" +1# +1$ +18 +1> +1K +#930100000 +#931100000 +#940000000 +0! +0" +0# +0$ +08 +0> +0K +#950000000 +1! +1" +1# +1$ +18 +1> +1K +#950100000 +#951100000 +#960000000 +0! +0" +0# +0$ +08 +0> +0K +#970000000 +1! +1" +1# +1$ +18 +1> +1K +#970100000 +#971100000 +#980000000 +0! +0" +0# +0$ +08 +0> +0K +#990000000 +1! +1" +1# +1$ +18 +1> +1K +#990100000 +#991100000 +#1000000000 +0! +0" +0# +0$ +08 +0> +0K +#1010000000 +1! +1" +1# +1$ +18 +1> +1K +#1010100000 +#1011100000 +#1020000000 +0! +0" +0# +0$ +08 +0> +0K +#1030000000 +1! +1" +1# +1$ +18 +1> +1K +#1030100000 +#1031100000 +#1040000000 +0! +0" +0# +0$ +08 +0> +0K +#1050000000 +1! +1" +1# +1$ +18 +1> +1K +#1050100000 +#1051100000 +#1060000000 +0! +0" +0# +0$ +08 +0> +0K +#1070000000 +1! +1" +1# +1$ +18 +1> +1K +#1070100000 +#1071100000 +#1080000000 +0! +0" +0# +0$ +08 +0> +0K +#1090000000 +1! +1" +1# +1$ +18 +1> +1K +#1090100000 +#1091100000 +#1100000000 +0! +0" +0# +0$ +08 +0> +0K +#1110000000 +1! +1" +1# +1$ +18 +1> +1K +#1110100000 +#1111100000 +#1120000000 +0! +0" +0# +0$ +08 +0> +0K +#1130000000 +1! +1" +1# +1$ +18 +1> +1K +#1130100000 +#1131100000 +#1140000000 +0! +0" +0# +0$ +08 +0> +0K +#1150000000 +1! +1" +1# +1$ +18 +1> +1K +#1150100000 +#1151100000 +#1160000000 +0! +0" +0# +0$ +08 +0> +0K +#1170000000 +1! +1" +1# +1$ +18 +1> +1K +#1170100000 +#1171100000 +#1180000000 +0! +0" +0# +0$ +08 +0> +0K +#1190000000 +1! +1" +1# +1$ +18 +1> +1K +#1190100000 +#1191100000 +#1200000000 +0! +0" +0# +0$ +08 +0> +0K +#1210000000 +1! +1" +1# +1$ +18 +1> +1K +#1210100000 +#1211100000 +#1220000000 +0! +0" +0# +0$ +08 +0> +0K +#1230000000 +1! +1" +1# +1$ +18 +1> +1K +#1230100000 +#1231100000 +#1240000000 +0! +0" +0# +0$ +08 +0> +0K +#1250000000 +1! +1" +1# +1$ +18 +1> +1K +#1250100000 +#1251100000 +#1260000000 +0! +0" +0# +0$ +08 +0> +0K +#1270000000 +1! +1" +1# +1$ +18 +1> +1K +#1270100000 +#1271100000 +#1280000000 +0! +0" +0# +0$ +08 +0> +0K +#1290000000 +1! +1" +1# +1$ +18 +1> +1K +#1290100000 +#1291100000 +#1300000000 +0! +0" +0# +0$ +08 +0> +0K +#1310000000 +1! +1" +1# +1$ +18 +1> +1K +#1310100000 +#1311100000 +#1320000000 +0! +0" +0# +0$ +08 +0> +0K +#1330000000 +1! +1" +1# +1$ +18 +1> +1K +#1330100000 +#1331100000 +#1340000000 +0! +0" +0# +0$ +08 +0> +0K +#1350000000 +1! +1" +1# +1$ +18 +1> +1K +#1350100000 +#1351100000 +#1360000000 +0! +0" +0# +0$ +08 +0> +0K +#1370000000 +1! +1" +1# +1$ +18 +1> +1K +#1370100000 +#1371100000 +#1380000000 +0! +0" +0# +0$ +08 +0> +0K +#1390000000 +1! +1" +1# +1$ +18 +1> +1K +#1390100000 +#1391100000 +#1400000000 +0! +0" +0# +0$ +08 +0> +0K +#1410000000 +1! +1" +1# +1$ +18 +1> +1K +#1410100000 +#1411100000 +#1420000000 +0! +0" +0# +0$ +08 +0> +0K +#1430000000 +1! +1" +1# +1$ +18 +1> +1K +#1430100000 +#1431100000 +#1440000000 +0! +0" +0# +0$ +08 +0> +0K +#1450000000 +1! +1" +1# +1$ +18 +1> +1K +#1450100000 +#1451100000 +#1460000000 +0! +0" +0# +0$ +08 +0> +0K +#1470000000 +1! +1" +1# +1$ +18 +1> +1K +#1470100000 +#1471100000 +#1480000000 +0! +0" +0# +0$ +08 +0> +0K +#1490000000 +1! +1" +1# +1$ +18 +1> +1K +#1490100000 +#1491100000 +#1500000000 +0! +0" +0# +0$ +08 +0> +0K +#1510000000 +1! +1" +1# +1$ +18 +1> +1K +#1510100000 +#1511100000 +#1520000000 +0! +0" +0# +0$ +08 +0> +0K +#1530000000 +1! +1" +1# +1$ +18 +1> +1K +#1530100000 +#1531100000 +#1540000000 +0! +0" +0# +0$ +08 +0> +0K +#1550000000 +1! +1" +1# +1$ +18 +1> +1K +#1550100000 +#1551100000 +#1560000000 +0! +0" +0# +0$ +08 +0> +0K +#1570000000 +1! +1" +1# +1$ +18 +1> +1K +#1570100000 +#1571100000 +#1580000000 +0! +0" +0# +0$ +08 +0> +0K +#1590000000 +1! +1" +1# +1$ +18 +1> +1K +#1590100000 +#1591100000 +#1600000000 +0! +0" +0# +0$ +08 +0> +0K +#1610000000 +1! +1" +1# +1$ +18 +1> +1K +#1610100000 +#1611100000 +#1620000000 +0! +0" +0# +0$ +08 +0> +0K +#1630000000 +1! +1" +1# +1$ +18 +1> +1K +#1630100000 +#1631100000 +#1640000000 +0! +0" +0# +0$ +08 +0> +0K +#1650000000 +1! +1" +1# +1$ +18 +1> +1K +#1650100000 +#1651100000 +#1660000000 +0! +0" +0# +0$ +08 +0> +0K +#1670000000 +1! +1" +1# +1$ +18 +1> +1K +#1670100000 +#1671100000 +#1680000000 +0! +0" +0# +0$ +08 +0> +0K +#1690000000 +1! +1" +1# +1$ +18 +1> +1K +#1690100000 +#1691100000 +#1700000000 +0! +0" +0# +0$ +08 +0> +0K +#1710000000 +1! +1" +1# +1$ +18 +1> +1K +#1710100000 +#1711100000 +#1720000000 +0! +0" +0# +0$ +08 +0> +0K +#1730000000 +1! +1" +1# +1$ +18 +1> +1K +#1730100000 +#1731100000 +#1740000000 +0! +0" +0# +0$ +08 +0> +0K +#1750000000 +1! +1" +1# +1$ +18 +1> +1K +#1750100000 +#1751100000 +#1760000000 +0! +0" +0# +0$ +08 +0> +0K +#1770000000 +1! +1" +1# +1$ +18 +1> +1K +#1770100000 +#1771100000 +#1780000000 +0! +0" +0# +0$ +08 +0> +0K +#1790000000 +1! +1" +1# +1$ +18 +1> +1K +#1790100000 +#1791100000 +#1800000000 +0! +0" +0# +0$ +08 +0> +0K +#1810000000 +1! +1" +1# +1$ +18 +1> +1K +#1810100000 +#1811100000 +#1820000000 +0! +0" +0# +0$ +08 +0> +0K +#1830000000 +1! +1" +1# +1$ +18 +1> +1K +#1830100000 +#1831100000 +#1840000000 +0! +0" +0# +0$ +08 +0> +0K +#1850000000 +1! +1" +1# +1$ +18 +1> +1K +#1850100000 +#1851100000 +#1860000000 +0! +0" +0# +0$ +08 +0> +0K +#1870000000 +1! +1" +1# +1$ +18 +1> +1K +#1870100000 +#1871100000 +#1880000000 +0! +0" +0# +0$ +08 +0> +0K +#1890000000 +1! +1" +1# +1$ +18 +1> +1K +#1890100000 +#1891100000 +#1900000000 +0! +0" +0# +0$ +08 +0> +0K +#1910000000 +1! +1" +1# +1$ +18 +1> +1K +#1910100000 +#1911100000 +#1920000000 +0! +0" +0# +0$ +08 +0> +0K +#1930000000 +1! +1" +1# +1$ +18 +1> +1K +#1930100000 +#1931100000 +#1940000000 +0! +0" +0# +0$ +08 +0> +0K +#1950000000 +1! +1" +1# +1$ +18 +1> +1K +#1950100000 +#1951100000 +#1960000000 +0! +0" +0# +0$ +08 +0> +0K +#1970000000 +1! +1" +1# +1$ +18 +1> +1K +#1970100000 +#1971100000 +#1980000000 +0! +0" +0# +0$ +08 +0> +0K +#1990000000 +1! +1" +1# +1$ +18 +1> +1K +#1990100000 +#1991100000 +#2000000000 +0! +0" +0# +0$ +08 +0> +0K +#2010000000 +1! +1" +1# +1$ +18 +1> +1K +#2010100000 +#2011100000 +#2020000000 +0! +0" +0# +0$ +08 +0> +0K +#2030000000 +1! +1" +1# +1$ +18 +1> +1K +#2030100000 +#2031100000 +#2040000000 +0! +0" +0# +0$ +08 +0> +0K +#2050000000 +1! +1" +1# +1$ +18 +1> +1K +#2050100000 +#2051100000 +#2060000000 +0! +0" +0# +0$ +08 +0> +0K +#2070000000 +1! +1" +1# +1$ +18 +1> +1K +#2070100000 +#2071100000 +#2080000000 +0! +0" +0# +0$ +08 +0> +0K +#2090000000 +1! +1" +1# +1$ +18 +1> +1K +#2090100000 +#2091100000 +#2100000000 +0! +0" +0# +0$ +08 +0> +0K +#2110000000 +1! +1" +1# +1$ +18 +1> +1K +#2110100000 +#2111100000 +#2120000000 +0! +0" +0# +0$ +08 +0> +0K +#2130000000 +1! +1" +1# +1$ +18 +1> +1K +#2130100000 +#2131100000 +#2140000000 +0! +0" +0# +0$ +08 +0> +0K +#2150000000 +1! +1" +1# +1$ +18 +1> +1K +#2150100000 +#2151100000 +#2160000000 +0! +0" +0# +0$ +08 +0> +0K +#2170000000 +1! +1" +1# +1$ +18 +1> +1K +#2170100000 +#2171100000 +#2180000000 +0! +0" +0# +0$ +08 +0> +0K +#2190000000 +1! +1" +1# +1$ +18 +1> +1K +#2190100000 +#2191100000 +#2200000000 +0! +0" +0# +0$ +08 +0> +0K +#2210000000 +1! +1" +1# +1$ +18 +1> +1K +#2210100000 +#2211100000 +#2220000000 +0! +0" +0# +0$ +08 +0> +0K +#2230000000 +1! +1" +1# +1$ +18 +1> +1K +#2230100000 +#2231100000 +#2240000000 +0! +0" +0# +0$ +08 +0> +0K +#2250000000 +1! +1" +1# +1$ +18 +1> +1K +#2250100000 +#2251100000 +#2260000000 +0! +0" +0# +0$ +08 +0> +0K +#2270000000 +1! +1" +1# +1$ +18 +1> +1K +#2270100000 +#2271100000 +#2280000000 +0! +0" +0# +0$ +08 +0> +0K +#2290000000 +1! +1" +1# +1$ +18 +1> +1K +#2290100000 +#2291100000 +#2300000000 +0! +0" +0# +0$ +08 +0> +0K +#2310000000 +1! +1" +1# +1$ +18 +1> +1K +#2310100000 +#2311100000 +#2320000000 +0! +0" +0# +0$ +08 +0> +0K +#2330000000 +1! +1" +1# +1$ +18 +1> +1K +#2330100000 +#2331100000 +#2340000000 +0! +0" +0# +0$ +08 +0> +0K +#2350000000 +1! +1" +1# +1$ +18 +1> +1K +#2350100000 +#2351100000 +#2360000000 +0! +0" +0# +0$ +08 +0> +0K +#2370000000 +1! +1" +1# +1$ +18 +1> +1K +#2370100000 +#2371100000 +#2380000000 +0! +0" +0# +0$ +08 +0> +0K +#2390000000 +1! +1" +1# +1$ +18 +1> +1K +#2390100000 +#2391100000 +#2400000000 +0! +0" +0# +0$ +08 +0> +0K +#2410000000 +1! +1" +1# +1$ +18 +1> +1K +#2410100000 +#2411100000 +#2420000000 +0! +0" +0# +0$ +08 +0> +0K +#2430000000 +1! +1" +1# +1$ +18 +1> +1K +#2430100000 +#2431100000 +#2440000000 +0! +0" +0# +0$ +08 +0> +0K +#2450000000 +1! +1" +1# +1$ +18 +1> +1K +#2450100000 +#2451100000 +#2460000000 +0! +0" +0# +0$ +08 +0> +0K +#2470000000 +1! +1" +1# +1$ +18 +1> +1K +#2470100000 +#2471100000 +#2480000000 +0! +0" +0# +0$ +08 +0> +0K +#2490000000 +1! +1" +1# +1$ +18 +1> +1K +#2490100000 +#2491100000 +#2500000000 +0! +0" +0# +0$ +08 +0> +0K +#2510000000 +1! +1" +1# +1$ +18 +1> +1K +#2510100000 +#2511100000 +#2520000000 +0! +0" +0# +0$ +08 +0> +0K +#2530000000 +1! +1" +1# +1$ +18 +1> +1K +#2530100000 +#2531100000 +#2540000000 +0! +0" +0# +0$ +08 +0> +0K +#2550000000 +1! +1" +1# +1$ +18 +1> +1K +#2550100000 +#2551100000 +#2560000000 +0! +0" +0# +0$ +08 +0> +0K +#2570000000 +1! +1" +1# +1$ +18 +1> +1K +#2570100000 +#2571100000 +#2580000000 +0! +0" +0# +0$ +08 +0> +0K +#2590000000 +1! +1" +1# +1$ +18 +1> +1K +#2590100000 +#2591100000 +#2600000000 +0! +0" +0# +0$ +08 +0> +0K +#2610000000 +1! +1" +1# +1$ +18 +1> +1K +#2610100000 +#2611100000 +#2620000000 +0! +0" +0# +0$ +08 +0> +0K +#2630000000 +1! +1" +1# +1$ +18 +1> +1K +#2630100000 +#2631100000 +#2640000000 +0! +0" +0# +0$ +08 +0> +0K +#2650000000 +1! +1" +1# +1$ +18 +1> +1K +#2650100000 +#2651100000 +#2660000000 +0! +0" +0# +0$ +08 +0> +0K +#2670000000 +1! +1" +1# +1$ +18 +1> +1K +#2670100000 +#2671100000 +#2680000000 +0! +0" +0# +0$ +08 +0> +0K +#2690000000 +1! +1" +1# +1$ +18 +1> +1K +#2690100000 +#2691100000 +#2700000000 +0! +0" +0# +0$ +08 +0> +0K +#2710000000 +1! +1" +1# +1$ +18 +1> +1K +#2710100000 +#2711100000 +#2720000000 +0! +0" +0# +0$ +08 +0> +0K +#2730000000 +1! +1" +1# +1$ +18 +1> +1K +#2730100000 +#2731100000 +#2740000000 +0! +0" +0# +0$ +08 +0> +0K +#2750000000 +1! +1" +1# +1$ +18 +1> +1K +#2750100000 +#2751100000 +#2760000000 +0! +0" +0# +0$ +08 +0> +0K +#2770000000 +1! +1" +1# +1$ +18 +1> +1K +#2770100000 +#2771100000 +#2780000000 +0! +0" +0# +0$ +08 +0> +0K +#2790000000 +1! +1" +1# +1$ +18 +1> +1K +#2790100000 +#2791100000 +#2800000000 +0! +0" +0# +0$ +08 +0> +0K +#2810000000 +1! +1" +1# +1$ +18 +1> +1K +#2810100000 +#2811100000 +#2820000000 +0! +0" +0# +0$ +08 +0> +0K +#2830000000 +1! +1" +1# +1$ +18 +1> +1K +#2830100000 +#2831100000 +#2840000000 +0! +0" +0# +0$ +08 +0> +0K +#2850000000 +1! +1" +1# +1$ +18 +1> +1K +#2850100000 +#2851100000 +#2860000000 +0! +0" +0# +0$ +08 +0> +0K +#2870000000 +1! +1" +1# +1$ +18 +1> +1K +#2870100000 +#2871100000 +#2880000000 +0! +0" +0# +0$ +08 +0> +0K +#2890000000 +1! +1" +1# +1$ +18 +1> +1K +#2890100000 +#2891100000 +#2900000000 +0! +0" +0# +0$ +08 +0> +0K +#2910000000 +1! +1" +1# +1$ +18 +1> +1K +#2910100000 +#2911100000 +#2920000000 +0! +0" +0# +0$ +08 +0> +0K +#2930000000 +1! +1" +1# +1$ +18 +1> +1K +#2930100000 +#2931100000 +#2940000000 +0! +0" +0# +0$ +08 +0> +0K +#2950000000 +1! +1" +1# +1$ +18 +1> +1K +#2950100000 +#2951100000 +#2960000000 +0! +0" +0# +0$ +08 +0> +0K +#2970000000 +1! +1" +1# +1$ +18 +1> +1K +#2970100000 +#2971100000 +#2980000000 +0! +0" +0# +0$ +08 +0> +0K +#2990000000 +1! +1" +1# +1$ +18 +1> +1K +#2990100000 +#2991100000 +#3000000000 +0! +0" +0# +0$ +08 +0> +0K +#3010000000 +1! +1" +1# +1$ +18 +1> +1K +#3010100000 +#3011100000 +#3020000000 +0! +0" +0# +0$ +08 +0> +0K +#3030000000 +1! +1" +1# +1$ +18 +1> +1K +#3030100000 +#3031100000 +#3040000000 +0! +0" +0# +0$ +08 +0> +0K +#3050000000 +1! +1" +1# +1$ +18 +1> +1K +#3050100000 +#3051100000 +#3060000000 +0! +0" +0# +0$ +08 +0> +0K +#3070000000 +1! +1" +1# +1$ +18 +1> +1K +#3070100000 +#3071100000 +#3080000000 +0! +0" +0# +0$ +08 +0> +0K +#3090000000 +1! +1" +1# +1$ +18 +1> +1K +#3090100000 +#3091100000 +#3100000000 +0! +0" +0# +0$ +08 +0> +0K +#3110000000 +1! +1" +1# +1$ +18 +1> +1K +#3110100000 +#3111100000 +#3120000000 +0! +0" +0# +0$ +08 +0> +0K +#3130000000 +1! +1" +1# +1$ +18 +1> +1K +#3130100000 +#3131100000 +#3140000000 +0! +0" +0# +0$ +08 +0> +0K +#3150000000 +1! +1" +1# +1$ +18 +1> +1K +#3150100000 +#3151100000 +#3160000000 +0! +0" +0# +0$ +08 +0> +0K +#3170000000 +1! +1" +1# +1$ +18 +1> +1K +#3170100000 +#3171100000 +#3180000000 +0! +0" +0# +0$ +08 +0> +0K +#3190000000 +1! +1" +1# +1$ +18 +1> +1K +#3190100000 +#3191100000 +#3200000000 +0! +0" +0# +0$ +08 +0> +0K +#3210000000 +1! +1" +1# +1$ +18 +1> +1K +#3210100000 +#3211100000 +#3220000000 +0! +0" +0# +0$ +08 +0> +0K +#3230000000 +1! +1" +1# +1$ +18 +1> +1K +#3230100000 +#3231100000 +#3240000000 +0! +0" +0# +0$ +08 +0> +0K +#3250000000 +1! +1" +1# +1$ +18 +1> +1K +#3250100000 +#3251100000 +#3260000000 +0! +0" +0# +0$ +08 +0> +0K +#3270000000 +1! +1" +1# +1$ +18 +1> +1K +#3270100000 +#3271100000 +#3280000000 +0! +0" +0# +0$ +08 +0> +0K +#3290000000 +1! +1" +1# +1$ +18 +1> +1K +#3290100000 +#3291100000 +#3300000000 +0! +0" +0# +0$ +08 +0> +0K +#3310000000 +1! +1" +1# +1$ +18 +1> +1K +#3310100000 +#3311100000 +#3320000000 +0! +0" +0# +0$ +08 +0> +0K +#3330000000 +1! +1" +1# +1$ +18 +1> +1K +#3330100000 +#3331100000 +#3340000000 +0! +0" +0# +0$ +08 +0> +0K +#3350000000 +1! +1" +1# +1$ +18 +1> +1K +#3350100000 +#3351100000 +#3360000000 +0! +0" +0# +0$ +08 +0> +0K +#3370000000 +1! +1" +1# +1$ +18 +1> +1K +#3370100000 +#3371100000 +#3380000000 +0! +0" +0# +0$ +08 +0> +0K +#3390000000 +1! +1" +1# +1$ +18 +1> +1K +#3390100000 +#3391100000 +#3400000000 +0! +0" +0# +0$ +08 +0> +0K +#3410000000 +1! +1" +1# +1$ +18 +1> +1K +#3410100000 +#3411100000 +#3420000000 +0! +0" +0# +0$ +08 +0> +0K +#3430000000 +1! +1" +1# +1$ +18 +1> +1K +#3430100000 +#3431100000 +#3440000000 +0! +0" +0# +0$ +08 +0> +0K +#3450000000 +1! +1" +1# +1$ +18 +1> +1K +#3450100000 +#3451100000 +#3460000000 +0! +0" +0# +0$ +08 +0> +0K +#3470000000 +1! +1" +1# +1$ +18 +1> +1K +#3470100000 +#3471100000 +#3480000000 +0! +0" +0# +0$ +08 +0> +0K +#3490000000 +1! +1" +1# +1$ +18 +1> +1K +#3490100000 +#3491100000 +#3500000000 +0! +0" +0# +0$ +08 +0> +0K +#3510000000 +1! +1" +1# +1$ +18 +1> +1K +#3510100000 +#3511100000 +#3520000000 +0! +0" +0# +0$ +08 +0> +0K +#3530000000 +1! +1" +1# +1$ +18 +1> +1K +#3530100000 +#3531100000 +#3540000000 +0! +0" +0# +0$ +08 +0> +0K +#3550000000 +1! +1" +1# +1$ +18 +1> +1K +#3550100000 +#3551100000 +#3560000000 +0! +0" +0# +0$ +08 +0> +0K +#3570000000 +1! +1" +1# +1$ +18 +1> +1K +#3570100000 +#3571100000 +#3580000000 +0! +0" +0# +0$ +08 +0> +0K +#3590000000 +1! +1" +1# +1$ +18 +1> +1K +#3590100000 +#3591100000 +#3600000000 +0! +0" +0# +0$ +08 +0> +0K +#3610000000 +1! +1" +1# +1$ +18 +1> +1K +#3610100000 +#3611100000 +#3620000000 +0! +0" +0# +0$ +08 +0> +0K +#3630000000 +1! +1" +1# +1$ +18 +1> +1K +#3630100000 +#3631100000 +#3640000000 +0! +0" +0# +0$ +08 +0> +0K +#3650000000 +1! +1" +1# +1$ +18 +1> +1K +#3650100000 +#3651100000 +#3660000000 +0! +0" +0# +0$ +08 +0> +0K +#3670000000 +1! +1" +1# +1$ +18 +1> +1K +#3670100000 +#3671100000 +#3680000000 +0! +0" +0# +0$ +08 +0> +0K +#3690000000 +1! +1" +1# +1$ +18 +1> +1K +#3690100000 +#3691100000 +#3700000000 +0! +0" +0# +0$ +08 +0> +0K +#3710000000 +1! +1" +1# +1$ +18 +1> +1K +#3710100000 +#3711100000 +#3720000000 +0! +0" +0# +0$ +08 +0> +0K +#3730000000 +1! +1" +1# +1$ +18 +1> +1K +#3730100000 +#3731100000 +#3740000000 +0! +0" +0# +0$ +08 +0> +0K +#3750000000 +1! +1" +1# +1$ +18 +1> +1K +#3750100000 +#3751100000 +#3760000000 +0! +0" +0# +0$ +08 +0> +0K +#3770000000 +1! +1" +1# +1$ +18 +1> +1K +#3770100000 +#3771100000 +#3780000000 +0! +0" +0# +0$ +08 +0> +0K +#3790000000 +1! +1" +1# +1$ +18 +1> +1K +#3790100000 +#3791100000 +#3800000000 +0! +0" +0# +0$ +08 +0> +0K +#3810000000 +1! +1" +1# +1$ +18 +1> +1K +#3810100000 +#3811100000 +#3820000000 +0! +0" +0# +0$ +08 +0> +0K +#3830000000 +1! +1" +1# +1$ +18 +1> +1K +#3830100000 +#3831100000 +#3840000000 +0! +0" +0# +0$ +08 +0> +0K +#3850000000 +1! +1" +1# +1$ +18 +1> +1K +#3850100000 +#3851100000 +#3860000000 +0! +0" +0# +0$ +08 +0> +0K +#3870000000 +1! +1" +1# +1$ +18 +1> +1K +#3870100000 +#3871100000 +#3880000000 +0! +0" +0# +0$ +08 +0> +0K +#3890000000 +1! +1" +1# +1$ +18 +1> +1K +#3890100000 +#3891100000 +#3900000000 +0! +0" +0# +0$ +08 +0> +0K +#3910000000 +1! +1" +1# +1$ +18 +1> +1K +#3910100000 +#3911100000 +#3920000000 +0! +0" +0# +0$ +08 +0> +0K +#3930000000 +1! +1" +1# +1$ +18 +1> +1K +#3930100000 +#3931100000 +#3940000000 +0! +0" +0# +0$ +08 +0> +0K +#3950000000 +1! +1" +1# +1$ +18 +1> +1K +#3950100000 +#3951100000 +#3960000000 +0! +0" +0# +0$ +08 +0> +0K +#3970000000 +1! +1" +1# +1$ +18 +1> +1K +#3970100000 +#3971100000 +#3980000000 +0! +0" +0# +0$ +08 +0> +0K +#3990000000 +1! +1" +1# +1$ +18 +1> +1K +#3990100000 +#3991100000 +#4000000000 +0! +0" +0# +0$ +08 +0> +0K +#4010000000 +1! +1" +1# +1$ +18 +1> +1K +#4010100000 +#4011100000 +#4020000000 +0! +0" +0# +0$ +08 +0> +0K +#4030000000 +1! +1" +1# +1$ +18 +1> +1K +#4030100000 +#4031100000 +#4040000000 +0! +0" +0# +0$ +08 +0> +0K +#4050000000 +1! +1" +1# +1$ +18 +1> +1K +#4050100000 +#4051100000 +#4060000000 +0! +0" +0# +0$ +08 +0> +0K +#4070000000 +1! +1" +1# +1$ +18 +1> +1K +#4070100000 +#4071100000 +#4080000000 +0! +0" +0# +0$ +08 +0> +0K +#4090000000 +1! +1" +1# +1$ +18 +1> +1K +#4090100000 +#4091100000 +#4100000000 +0! +0" +0# +0$ +08 +0> +0K +#4110000000 +1! +1" +1# +1$ +18 +1> +1K +#4110100000 +#4111100000 +#4120000000 +0! +0" +0# +0$ +08 +0> +0K +#4130000000 +1! +1" +1# +1$ +18 +1> +1K +#4130100000 +#4131100000 +#4140000000 +0! +0" +0# +0$ +08 +0> +0K +#4150000000 +1! +1" +1# +1$ +18 +1> +1K +#4150100000 +#4151100000 +#4160000000 +0! +0" +0# +0$ +08 +0> +0K +#4170000000 +1! +1" +1# +1$ +18 +1> +1K +#4170100000 +#4171100000 +#4180000000 +0! +0" +0# +0$ +08 +0> +0K +#4190000000 +1! +1" +1# +1$ +18 +1> +1K +#4190100000 +#4191100000 +#4200000000 +0! +0" +0# +0$ +08 +0> +0K +#4210000000 +1! +1" +1# +1$ +18 +1> +1K +#4210100000 +#4211100000 +#4220000000 +0! +0" +0# +0$ +08 +0> +0K +#4230000000 +1! +1" +1# +1$ +18 +1> +1K +#4230100000 +#4231100000 +#4240000000 +0! +0" +0# +0$ +08 +0> +0K +#4250000000 +1! +1" +1# +1$ +18 +1> +1K +#4250100000 +#4251100000 +#4260000000 +0! +0" +0# +0$ +08 +0> +0K +#4270000000 +1! +1" +1# +1$ +18 +1> +1K +#4270100000 +#4271100000 +#4280000000 +0! +0" +0# +0$ +08 +0> +0K +#4290000000 +1! +1" +1# +1$ +18 +1> +1K +#4290100000 +#4291100000 +#4300000000 +0! +0" +0# +0$ +08 +0> +0K +#4310000000 +1! +1" +1# +1$ +18 +1> +1K +#4310100000 +#4311100000 +#4320000000 +0! +0" +0# +0$ +08 +0> +0K +#4330000000 +1! +1" +1# +1$ +18 +1> +1K +#4330100000 +#4331100000 +#4340000000 +0! +0" +0# +0$ +08 +0> +0K +#4350000000 +1! +1" +1# +1$ +18 +1> +1K +#4350100000 +#4351100000 +#4360000000 +0! +0" +0# +0$ +08 +0> +0K +#4370000000 +1! +1" +1# +1$ +18 +1> +1K +#4370100000 +#4371100000 +#4380000000 +0! +0" +0# +0$ +08 +0> +0K +#4390000000 +1! +1" +1# +1$ +18 +1> +1K +#4390100000 +#4391100000 +#4400000000 +0! +0" +0# +0$ +08 +0> +0K +#4410000000 +1! +1" +1# +1$ +18 +1> +1K +#4410100000 +#4411100000 +#4420000000 +0! +0" +0# +0$ +08 +0> +0K +#4430000000 +1! +1" +1# +1$ +18 +1> +1K +#4430100000 +#4431100000 +#4440000000 +0! +0" +0# +0$ +08 +0> +0K +#4450000000 +1! +1" +1# +1$ +18 +1> +1K +#4450100000 +#4451100000 +#4460000000 +0! +0" +0# +0$ +08 +0> +0K +#4470000000 +1! +1" +1# +1$ +18 +1> +1K +#4470100000 +#4471100000 +#4480000000 +0! +0" +0# +0$ +08 +0> +0K +#4490000000 +1! +1" +1# +1$ +18 +1> +1K +#4490100000 +#4491100000 +#4500000000 +0! +0" +0# +0$ +08 +0> +0K +#4510000000 +1! +1" +1# +1$ +18 +1> +1K +#4510100000 +#4511100000 +#4520000000 +0! +0" +0# +0$ +08 +0> +0K +#4530000000 +1! +1" +1# +1$ +18 +1> +1K +#4530100000 +#4531100000 +#4540000000 +0! +0" +0# +0$ +08 +0> +0K +#4550000000 +1! +1" +1# +1$ +18 +1> +1K +#4550100000 +#4551100000 +#4560000000 +0! +0" +0# +0$ +08 +0> +0K +#4570000000 +1! +1" +1# +1$ +18 +1> +1K +#4570100000 +#4571100000 +#4580000000 +0! +0" +0# +0$ +08 +0> +0K +#4590000000 +1! +1" +1# +1$ +18 +1> +1K +#4590100000 +#4591100000 +#4600000000 +0! +0" +0# +0$ +08 +0> +0K +#4610000000 +1! +1" +1# +1$ +18 +1> +1K +#4610100000 +#4611100000 +#4620000000 +0! +0" +0# +0$ +08 +0> +0K +#4630000000 +1! +1" +1# +1$ +18 +1> +1K +#4630100000 +#4631100000 +#4640000000 +0! +0" +0# +0$ +08 +0> +0K +#4650000000 +1! +1" +1# +1$ +18 +1> +1K +#4650100000 +#4651100000 +#4660000000 +0! +0" +0# +0$ +08 +0> +0K +#4670000000 +1! +1" +1# +1$ +18 +1> +1K +#4670100000 +#4671100000 +#4680000000 +0! +0" +0# +0$ +08 +0> +0K +#4690000000 +1! +1" +1# +1$ +18 +1> +1K +#4690100000 +#4691100000 +#4700000000 +0! +0" +0# +0$ +08 +0> +0K +#4710000000 +1! +1" +1# +1$ +18 +1> +1K +#4710100000 +#4711100000 +#4720000000 +0! +0" +0# +0$ +08 +0> +0K +#4730000000 +1! +1" +1# +1$ +18 +1> +1K +#4730100000 +#4731100000 +#4740000000 +0! +0" +0# +0$ +08 +0> +0K +#4750000000 +1! +1" +1# +1$ +18 +1> +1K +#4750100000 +#4751100000 +#4760000000 +0! +0" +0# +0$ +08 +0> +0K +#4770000000 +1! +1" +1# +1$ +18 +1> +1K +#4770100000 +#4771100000 +#4780000000 +0! +0" +0# +0$ +08 +0> +0K +#4790000000 +1! +1" +1# +1$ +18 +1> +1K +#4790100000 +#4791100000 +#4800000000 +0! +0" +0# +0$ +08 +0> +0K +#4810000000 +1! +1" +1# +1$ +18 +1> +1K +#4810100000 +#4811100000 +#4820000000 +0! +0" +0# +0$ +08 +0> +0K +#4830000000 +1! +1" +1# +1$ +18 +1> +1K +#4830100000 +#4831100000 +#4840000000 +0! +0" +0# +0$ +08 +0> +0K +#4850000000 +1! +1" +1# +1$ +18 +1> +1K +#4850100000 +#4851100000 +#4860000000 +0! +0" +0# +0$ +08 +0> +0K +#4870000000 +1! +1" +1# +1$ +18 +1> +1K +#4870100000 +#4871100000 +#4880000000 +0! +0" +0# +0$ +08 +0> +0K +#4890000000 +1! +1" +1# +1$ +18 +1> +1K +#4890100000 +#4891100000 +#4900000000 +0! +0" +0# +0$ +08 +0> +0K +#4910000000 +1! +1" +1# +1$ +18 +1> +1K +#4910100000 +#4911100000 +#4920000000 +0! +0" +0# +0$ +08 +0> +0K +#4930000000 +1! +1" +1# +1$ +18 +1> +1K +#4930100000 +#4931100000 +#4940000000 +0! +0" +0# +0$ +08 +0> +0K +#4950000000 +1! +1" +1# +1$ +18 +1> +1K +#4950100000 +#4951100000 +#4960000000 +0! +0" +0# +0$ +08 +0> +0K +#4970000000 +1! +1" +1# +1$ +18 +1> +1K +#4970100000 +#4971100000 +#4980000000 +0! +0" +0# +0$ +08 +0> +0K +#4990000000 +1! +1" +1# +1$ +18 +1> +1K +#4990100000 +#4991100000 +#5000000000 +0! +0" +0# +0$ +08 +0> +0K +#5010000000 +1! +1" +1# +1$ +18 +1> +1K +#5010100000 +#5011100000 +#5020000000 +0! +0" +0# +0$ +08 +0> +0K +#5030000000 +1! +1" +1# +1$ +18 +1> +1K +#5030100000 +#5031100000 +#5040000000 +0! +0" +0# +0$ +08 +0> +0K +#5050000000 +1! +1" +1# +1$ +18 +1> +1K +#5050100000 +#5051100000 +#5060000000 +0! +0" +0# +0$ +08 +0> +0K +#5070000000 +1! +1" +1# +1$ +18 +1> +1K +#5070100000 +#5071100000 +#5080000000 +0! +0" +0# +0$ +08 +0> +0K +#5090000000 +1! +1" +1# +1$ +18 +1> +1K +#5090100000 +#5091100000 +#5100000000 +0! +0" +0# +0$ +08 +0> +0K +#5110000000 +1! +1" +1# +1$ +18 +1> +1K +#5110100000 +#5111100000 +#5120000000 +0! +0" +0# +0$ +08 +0> +0K +#5130000000 +1! +1" +1# +1$ +18 +1> +1K +#5130100000 +#5131100000 +#5140000000 +0! +0" +0# +0$ +08 +0> +0K +#5150000000 +1! +1" +1# +1$ +18 +1> +1K +#5150100000 +#5151100000 +#5160000000 +0! +0" +0# +0$ +08 +0> +0K +#5170000000 +1! +1" +1# +1$ +18 +1> +1K +#5170100000 +#5171100000 +#5180000000 +0! +0" +0# +0$ +08 +0> +0K +#5190000000 +1! +1" +1# +1$ +18 +1> +1K +#5190100000 +#5191100000 +#5200000000 +0! +0" +0# +0$ +08 +0> +0K +#5210000000 +1! +1" +1# +1$ +18 +1> +1K +#5210100000 +#5211100000 +#5220000000 +0! +0" +0# +0$ +08 +0> +0K +#5230000000 +1! +1" +1# +1$ +18 +1> +1K +#5230100000 +#5231100000 +#5240000000 +0! +0" +0# +0$ +08 +0> +0K +#5250000000 +1! +1" +1# +1$ +18 +1> +1K +#5250100000 +#5251100000 +#5260000000 +0! +0" +0# +0$ +08 +0> +0K +#5270000000 +1! +1" +1# +1$ +18 +1> +1K +#5270100000 +#5271100000 +#5280000000 +0! +0" +0# +0$ +08 +0> +0K +#5290000000 +1! +1" +1# +1$ +18 +1> +1K +#5290100000 +#5291100000 +#5300000000 +0! +0" +0# +0$ +08 +0> +0K +#5310000000 +1! +1" +1# +1$ +18 +1> +1K +#5310100000 +#5311100000 +#5320000000 +0! +0" +0# +0$ +08 +0> +0K +#5330000000 +1! +1" +1# +1$ +18 +1> +1K +#5330100000 +#5331100000 +#5340000000 +0! +0" +0# +0$ +08 +0> +0K +#5350000000 +1! +1" +1# +1$ +18 +1> +1K +#5350100000 +#5351100000 +#5360000000 +0! +0" +0# +0$ +08 +0> +0K +#5370000000 +1! +1" +1# +1$ +18 +1> +1K +#5370100000 +#5371100000 +#5380000000 +0! +0" +0# +0$ +08 +0> +0K +#5390000000 +1! +1" +1# +1$ +18 +1> +1K +#5390100000 +#5391100000 +#5400000000 +0! +0" +0# +0$ +08 +0> +0K +#5410000000 +1! +1" +1# +1$ +18 +1> +1K +#5410100000 +#5411100000 +#5420000000 +0! +0" +0# +0$ +08 +0> +0K +#5430000000 +1! +1" +1# +1$ +18 +1> +1K +#5430100000 +#5431100000 +#5440000000 +0! +0" +0# +0$ +08 +0> +0K +#5450000000 +1! +1" +1# +1$ +18 +1> +1K +#5450100000 +#5451100000 +#5460000000 +0! +0" +0# +0$ +08 +0> +0K +#5470000000 +1! +1" +1# +1$ +18 +1> +1K +#5470100000 +#5471100000 +#5480000000 +0! +0" +0# +0$ +08 +0> +0K +#5490000000 +1! +1" +1# +1$ +18 +1> +1K +#5490100000 +#5491100000 +#5500000000 +0! +0" +0# +0$ +08 +0> +0K +#5510000000 +1! +1" +1# +1$ +18 +1> +1K +#5510100000 +#5511100000 +#5520000000 +0! +0" +0# +0$ +08 +0> +0K +#5530000000 +1! +1" +1# +1$ +18 +1> +1K +#5530100000 +#5531100000 +#5540000000 +0! +0" +0# +0$ +08 +0> +0K +#5550000000 +1! +1" +1# +1$ +18 +1> +1K +#5550100000 +#5551100000 +#5560000000 +0! +0" +0# +0$ +08 +0> +0K +#5570000000 +1! +1" +1# +1$ +18 +1> +1K +#5570100000 +#5571100000 +#5580000000 +0! +0" +0# +0$ +08 +0> +0K +#5590000000 +1! +1" +1# +1$ +18 +1> +1K +#5590100000 +#5591100000 +#5600000000 +0! +0" +0# +0$ +08 +0> +0K +#5610000000 +1! +1" +1# +1$ +18 +1> +1K +#5610100000 +#5611100000 +#5620000000 +0! +0" +0# +0$ +08 +0> +0K +#5630000000 +1! +1" +1# +1$ +18 +1> +1K +#5630100000 +#5631100000 +#5640000000 +0! +0" +0# +0$ +08 +0> +0K +#5650000000 +1! +1" +1# +1$ +18 +1> +1K +#5650100000 +#5651100000 +#5660000000 +0! +0" +0# +0$ +08 +0> +0K +#5670000000 +1! +1" +1# +1$ +18 +1> +1K +#5670100000 +#5671100000 +#5680000000 +0! +0" +0# +0$ +08 +0> +0K +#5690000000 +1! +1" +1# +1$ +18 +1> +1K +#5690100000 +#5691100000 +#5700000000 +0! +0" +0# +0$ +08 +0> +0K +#5710000000 +1! +1" +1# +1$ +18 +1> +1K +#5710100000 +#5711100000 +#5720000000 +0! +0" +0# +0$ +08 +0> +0K +#5730000000 +1! +1" +1# +1$ +18 +1> +1K +#5730100000 +#5731100000 +#5740000000 +0! +0" +0# +0$ +08 +0> +0K +#5750000000 +1! +1" +1# +1$ +18 +1> +1K +#5750100000 +#5751100000 +#5760000000 +0! +0" +0# +0$ +08 +0> +0K +#5770000000 +1! +1" +1# +1$ +18 +1> +1K +#5770100000 +#5771100000 +#5780000000 +0! +0" +0# +0$ +08 +0> +0K +#5790000000 +1! +1" +1# +1$ +18 +1> +1K +#5790100000 +#5791100000 +#5800000000 +0! +0" +0# +0$ +08 +0> +0K +#5810000000 +1! +1" +1# +1$ +18 +1> +1K +#5810100000 +#5811100000 +#5820000000 +0! +0" +0# +0$ +08 +0> +0K +#5830000000 +1! +1" +1# +1$ +18 +1> +1K +#5830100000 +#5831100000 +#5840000000 +0! +0" +0# +0$ +08 +0> +0K +#5850000000 +1! +1" +1# +1$ +18 +1> +1K +#5850100000 +#5851100000 +#5860000000 +0! +0" +0# +0$ +08 +0> +0K +#5870000000 +1! +1" +1# +1$ +18 +1> +1K +#5870100000 +#5871100000 +#5880000000 +0! +0" +0# +0$ +08 +0> +0K +#5890000000 +1! +1" +1# +1$ +18 +1> +1K +#5890100000 +#5891100000 +#5900000000 +0! +0" +0# +0$ +08 +0> +0K +#5910000000 +1! +1" +1# +1$ +18 +1> +1K +#5910100000 +#5911100000 +#5920000000 +0! +0" +0# +0$ +08 +0> +0K +#5930000000 +1! +1" +1# +1$ +18 +1> +1K +#5930100000 +#5931100000 +#5940000000 +0! +0" +0# +0$ +08 +0> +0K +#5950000000 +1! +1" +1# +1$ +18 +1> +1K +#5950100000 +#5951100000 +#5960000000 +0! +0" +0# +0$ +08 +0> +0K +#5970000000 +1! +1" +1# +1$ +18 +1> +1K +#5970100000 +#5971100000 +#5980000000 +0! +0" +0# +0$ +08 +0> +0K +#5990000000 +1! +1" +1# +1$ +18 +1> +1K +#5990100000 +#5991100000 +#6000000000 +0! +0" +0# +0$ +08 +0> +0K +#6010000000 +1! +1" +1# +1$ +18 +1> +1K +#6010100000 +#6011100000 +#6020000000 +0! +0" +0# +0$ +08 +0> +0K +#6030000000 +1! +1" +1# +1$ +18 +1> +1K +#6030100000 +#6031100000 +#6040000000 +0! +0" +0# +0$ +08 +0> +0K +#6050000000 +1! +1" +1# +1$ +18 +1> +1K +#6050100000 +#6051100000 +#6060000000 +0! +0" +0# +0$ +08 +0> +0K +#6070000000 +1! +1" +1# +1$ +18 +1> +1K +#6070100000 +#6071100000 +#6080000000 +0! +0" +0# +0$ +08 +0> +0K +#6090000000 +1! +1" +1# +1$ +18 +1> +1K +#6090100000 +#6091100000 +#6100000000 +0! +0" +0# +0$ +08 +0> +0K +#6110000000 +1! +1" +1# +1$ +18 +1> +1K +#6110100000 +#6111100000 +#6120000000 +0! +0" +0# +0$ +08 +0> +0K +#6130000000 +1! +1" +1# +1$ +18 +1> +1K +#6130100000 +#6131100000 +#6140000000 +0! +0" +0# +0$ +08 +0> +0K +#6150000000 +1! +1" +1# +1$ +18 +1> +1K +#6150100000 +#6151100000 +#6160000000 +0! +0" +0# +0$ +08 +0> +0K +#6170000000 +1! +1" +1# +1$ +18 +1> +1K +#6170100000 +#6171100000 +#6180000000 +0! +0" +0# +0$ +08 +0> +0K +#6190000000 +1! +1" +1# +1$ +18 +1> +1K +#6190100000 +#6191100000 +#6200000000 +0! +0" +0# +0$ +08 +0> +0K +#6210000000 +1! +1" +1# +1$ +18 +1> +1K +#6210100000 +#6211100000 +#6220000000 +0! +0" +0# +0$ +08 +0> +0K +#6230000000 +1! +1" +1# +1$ +18 +1> +1K +#6230100000 +#6231100000 +#6240000000 +0! +0" +0# +0$ +08 +0> +0K +#6250000000 +1! +1" +1# +1$ +18 +1> +1K +#6250100000 +#6251100000 +#6260000000 +0! +0" +0# +0$ +08 +0> +0K +#6270000000 +1! +1" +1# +1$ +18 +1> +1K +#6270100000 +#6271100000 +#6280000000 +0! +0" +0# +0$ +08 +0> +0K +#6290000000 +1! +1" +1# +1$ +18 +1> +1K +#6290100000 +#6291100000 +#6300000000 +0! +0" +0# +0$ +08 +0> +0K +#6310000000 +1! +1" +1# +1$ +18 +1> +1K +#6310100000 +#6311100000 +#6320000000 +0! +0" +0# +0$ +08 +0> +0K +#6330000000 +1! +1" +1# +1$ +18 +1> +1K +#6330100000 +#6331100000 +#6340000000 +0! +0" +0# +0$ +08 +0> +0K +#6350000000 +1! +1" +1# +1$ +18 +1> +1K +#6350100000 +#6351100000 +#6360000000 +0! +0" +0# +0$ +08 +0> +0K +#6370000000 +1! +1" +1# +1$ +18 +1> +1K +#6370100000 +#6371100000 +#6380000000 +0! +0" +0# +0$ +08 +0> +0K +#6390000000 +1! +1" +1# +1$ +18 +1> +1K +#6390100000 +#6391100000 +#6400000000 +0! +0" +0# +0$ +08 +0> +0K +#6410000000 +1! +1" +1# +1$ +18 +1> +1K +#6410100000 +#6411100000 +#6420000000 +0! +0" +0# +0$ +08 +0> +0K +#6430000000 +1! +1" +1# +1$ +18 +1> +1K +#6430100000 +#6431100000 +#6440000000 +0! +0" +0# +0$ +08 +0> +0K +#6450000000 +1! +1" +1# +1$ +18 +1> +1K +#6450100000 +#6451100000 +#6460000000 +0! +0" +0# +0$ +08 +0> +0K +#6470000000 +1! +1" +1# +1$ +18 +1> +1K +#6470100000 +#6471100000 +#6480000000 +0! +0" +0# +0$ +08 +0> +0K +#6490000000 +1! +1" +1# +1$ +18 +1> +1K +#6490100000 +#6491100000 +#6500000000 +0! +0" +0# +0$ +08 +0> +0K +#6510000000 +1! +1" +1# +1$ +18 +1> +1K +#6510100000 +#6511100000 +#6520000000 +0! +0" +0# +0$ +08 +0> +0K +#6530000000 +1! +1" +1# +1$ +18 +1> +1K +#6530100000 +#6531100000 +#6540000000 +0! +0" +0# +0$ +08 +0> +0K +#6550000000 +1! +1" +1# +1$ +18 +1> +1K +#6550100000 +#6551100000 +#6560000000 +0! +0" +0# +0$ +08 +0> +0K +#6570000000 +1! +1" +1# +1$ +18 +1> +1K +#6570100000 +#6571100000 +#6580000000 +0! +0" +0# +0$ +08 +0> +0K +#6590000000 +1! +1" +1# +1$ +18 +1> +1K +#6590100000 +#6591100000 +#6600000000 +0! +0" +0# +0$ +08 +0> +0K +#6610000000 +1! +1" +1# +1$ +18 +1> +1K +#6610100000 +#6611100000 +#6620000000 +0! +0" +0# +0$ +08 +0> +0K +#6630000000 +1! +1" +1# +1$ +18 +1> +1K +#6630100000 +#6631100000 +#6640000000 +0! +0" +0# +0$ +08 +0> +0K +#6650000000 +1! +1" +1# +1$ +18 +1> +1K +#6650100000 +#6651100000 +#6660000000 +0! +0" +0# +0$ +08 +0> +0K +#6670000000 +1! +1" +1# +1$ +18 +1> +1K +#6670100000 +#6671100000 +#6680000000 +0! +0" +0# +0$ +08 +0> +0K +#6690000000 +1! +1" +1# +1$ +18 +1> +1K +#6690100000 +#6691100000 +#6700000000 +0! +0" +0# +0$ +08 +0> +0K +#6710000000 +1! +1" +1# +1$ +18 +1> +1K +#6710100000 +#6711100000 +#6720000000 +0! +0" +0# +0$ +08 +0> +0K +#6730000000 +1! +1" +1# +1$ +18 +1> +1K +#6730100000 +#6731100000 +#6740000000 +0! +0" +0# +0$ +08 +0> +0K +#6750000000 +1! +1" +1# +1$ +18 +1> +1K +#6750100000 +#6751100000 +#6760000000 +0! +0" +0# +0$ +08 +0> +0K +#6770000000 +1! +1" +1# +1$ +18 +1> +1K +#6770100000 +#6771100000 +#6780000000 +0! +0" +0# +0$ +08 +0> +0K +#6790000000 +1! +1" +1# +1$ +18 +1> +1K +#6790100000 +#6791100000 +#6800000000 +0! +0" +0# +0$ +08 +0> +0K +#6810000000 +1! +1" +1# +1$ +18 +1> +1K +#6810100000 +#6811100000 +#6820000000 +0! +0" +0# +0$ +08 +0> +0K +#6830000000 +1! +1" +1# +1$ +18 +1> +1K +#6830100000 +#6831100000 +#6840000000 +0! +0" +0# +0$ +08 +0> +0K +#6850000000 +1! +1" +1# +1$ +18 +1> +1K +#6850100000 +#6851100000 +#6860000000 +0! +0" +0# +0$ +08 +0> +0K +#6870000000 +1! +1" +1# +1$ +18 +1> +1K +#6870100000 +#6871100000 +#6880000000 +0! +0" +0# +0$ +08 +0> +0K +#6890000000 +1! +1" +1# +1$ +18 +1> +1K +#6890100000 +#6891100000 +#6900000000 +0! +0" +0# +0$ +08 +0> +0K +#6910000000 +1! +1" +1# +1$ +18 +1> +1K +#6910100000 +#6911100000 +#6920000000 +0! +0" +0# +0$ +08 +0> +0K +#6930000000 +1! +1" +1# +1$ +18 +1> +1K +#6930100000 +#6931100000 +#6940000000 +0! +0" +0# +0$ +08 +0> +0K +#6950000000 +1! +1" +1# +1$ +18 +1> +1K +#6950100000 +#6951100000 +#6960000000 +0! +0" +0# +0$ +08 +0> +0K +#6970000000 +1! +1" +1# +1$ +18 +1> +1K +#6970100000 +#6971100000 +#6980000000 +0! +0" +0# +0$ +08 +0> +0K +#6990000000 +1! +1" +1# +1$ +18 +1> +1K +#6990100000 +#6991100000 +#7000000000 +0! +0" +0# +0$ +08 +0> +0K +#7010000000 +1! +1" +1# +1$ +18 +1> +1K +#7010100000 +#7011100000 +#7020000000 +0! +0" +0# +0$ +08 +0> +0K +#7030000000 +1! +1" +1# +1$ +18 +1> +1K +#7030100000 +#7031100000 +#7040000000 +0! +0" +0# +0$ +08 +0> +0K +#7050000000 +1! +1" +1# +1$ +18 +1> +1K +#7050100000 +#7051100000 +#7060000000 +0! +0" +0# +0$ +08 +0> +0K +#7070000000 +1! +1" +1# +1$ +18 +1> +1K +#7070100000 +#7071100000 +#7080000000 +0! +0" +0# +0$ +08 +0> +0K +#7090000000 +1! +1" +1# +1$ +18 +1> +1K +#7090100000 +#7091100000 +#7100000000 +0! +0" +0# +0$ +08 +0> +0K +#7110000000 +1! +1" +1# +1$ +18 +1> +1K +#7110100000 +#7111100000 +#7120000000 +0! +0" +0# +0$ +08 +0> +0K +#7130000000 +1! +1" +1# +1$ +18 +1> +1K +#7130100000 +#7131100000 +#7140000000 +0! +0" +0# +0$ +08 +0> +0K +#7150000000 +1! +1" +1# +1$ +18 +1> +1K +#7150100000 +#7151100000 +#7160000000 +0! +0" +0# +0$ +08 +0> +0K +#7170000000 +1! +1" +1# +1$ +18 +1> +1K +#7170100000 +#7171100000 +#7180000000 +0! +0" +0# +0$ +08 +0> +0K +#7190000000 +1! +1" +1# +1$ +18 +1> +1K +#7190100000 +#7191100000 +#7200000000 +0! +0" +0# +0$ +08 +0> +0K +#7210000000 +1! +1" +1# +1$ +18 +1> +1K +#7210100000 +#7211100000 +#7220000000 +0! +0" +0# +0$ +08 +0> +0K +#7230000000 +1! +1" +1# +1$ +18 +1> +1K +#7230100000 +#7231100000 +#7240000000 +0! +0" +0# +0$ +08 +0> +0K +#7250000000 +1! +1" +1# +1$ +18 +1> +1K +#7250100000 +#7251100000 +#7260000000 +0! +0" +0# +0$ +08 +0> +0K +#7270000000 +1! +1" +1# +1$ +18 +1> +1K +#7270100000 +#7271100000 +#7280000000 +0! +0" +0# +0$ +08 +0> +0K +#7290000000 +1! +1" +1# +1$ +18 +1> +1K +#7290100000 +#7291100000 +#7300000000 +0! +0" +0# +0$ +08 +0> +0K +#7310000000 +1! +1" +1# +1$ +18 +1> +1K +#7310100000 +#7311100000 +#7320000000 +0! +0" +0# +0$ +08 +0> +0K +#7330000000 +1! +1" +1# +1$ +18 +1> +1K +#7330100000 +#7331100000 +#7340000000 +0! +0" +0# +0$ +08 +0> +0K +#7350000000 +1! +1" +1# +1$ +18 +1> +1K +#7350100000 +#7351100000 +#7360000000 +0! +0" +0# +0$ +08 +0> +0K +#7370000000 +1! +1" +1# +1$ +18 +1> +1K +#7370100000 +#7371100000 +#7380000000 +0! +0" +0# +0$ +08 +0> +0K +#7390000000 +1! +1" +1# +1$ +18 +1> +1K +#7390100000 +#7391100000 +#7400000000 +0! +0" +0# +0$ +08 +0> +0K +#7410000000 +1! +1" +1# +1$ +18 +1> +1K +#7410100000 +#7411100000 +#7420000000 +0! +0" +0# +0$ +08 +0> +0K +#7430000000 +1! +1" +1# +1$ +18 +1> +1K +#7430100000 +#7431100000 +#7440000000 +0! +0" +0# +0$ +08 +0> +0K +#7450000000 +1! +1" +1# +1$ +18 +1> +1K +#7450100000 +#7451100000 +#7460000000 +0! +0" +0# +0$ +08 +0> +0K +#7470000000 +1! +1" +1# +1$ +18 +1> +1K +#7470100000 +#7471100000 +#7480000000 +0! +0" +0# +0$ +08 +0> +0K +#7490000000 +1! +1" +1# +1$ +18 +1> +1K +#7490100000 +#7491100000 +#7500000000 +0! +0" +0# +0$ +08 +0> +0K +#7510000000 +1! +1" +1# +1$ +18 +1> +1K +#7510100000 +#7511100000 +#7520000000 +0! +0" +0# +0$ +08 +0> +0K +#7530000000 +1! +1" +1# +1$ +18 +1> +1K +#7530100000 +#7531100000 +#7540000000 +0! +0" +0# +0$ +08 +0> +0K +#7550000000 +1! +1" +1# +1$ +18 +1> +1K +#7550100000 +#7551100000 +#7560000000 +0! +0" +0# +0$ +08 +0> +0K +#7570000000 +1! +1" +1# +1$ +18 +1> +1K +#7570100000 +#7571100000 +#7580000000 +0! +0" +0# +0$ +08 +0> +0K +#7590000000 +1! +1" +1# +1$ +18 +1> +1K +#7590100000 +#7591100000 +#7600000000 +0! +0" +0# +0$ +08 +0> +0K +#7610000000 +1! +1" +1# +1$ +18 +1> +1K +#7610100000 +#7611100000 +#7620000000 +0! +0" +0# +0$ +08 +0> +0K +#7630000000 +1! +1" +1# +1$ +18 +1> +1K +#7630100000 +#7631100000 +#7640000000 +0! +0" +0# +0$ +08 +0> +0K +#7650000000 +1! +1" +1# +1$ +18 +1> +1K +#7650100000 +#7651100000 +#7660000000 +0! +0" +0# +0$ +08 +0> +0K +#7670000000 +1! +1" +1# +1$ +18 +1> +1K +#7670100000 +#7671100000 +#7680000000 +0! +0" +0# +0$ +08 +0> +0K +#7690000000 +1! +1" +1# +1$ +18 +1> +1K +#7690100000 +#7691100000 +#7700000000 +0! +0" +0# +0$ +08 +0> +0K +#7710000000 +1! +1" +1# +1$ +18 +1> +1K +#7710100000 +#7711100000 +#7720000000 +0! +0" +0# +0$ +08 +0> +0K +#7730000000 +1! +1" +1# +1$ +18 +1> +1K +#7730100000 +#7731100000 +#7740000000 +0! +0" +0# +0$ +08 +0> +0K +#7750000000 +1! +1" +1# +1$ +18 +1> +1K +#7750100000 +#7751100000 +#7760000000 +0! +0" +0# +0$ +08 +0> +0K +#7770000000 +1! +1" +1# +1$ +18 +1> +1K +#7770100000 +#7771100000 +#7780000000 +0! +0" +0# +0$ +08 +0> +0K +#7790000000 +1! +1" +1# +1$ +18 +1> +1K +#7790100000 +#7791100000 +#7800000000 +0! +0" +0# +0$ +08 +0> +0K +#7810000000 +1! +1" +1# +1$ +18 +1> +1K +#7810100000 +#7811100000 +#7820000000 +0! +0" +0# +0$ +08 +0> +0K +#7830000000 +1! +1" +1# +1$ +18 +1> +1K +#7830100000 +#7831100000 +#7840000000 +0! +0" +0# +0$ +08 +0> +0K +#7850000000 +1! +1" +1# +1$ +18 +1> +1K +#7850100000 +#7851100000 +#7860000000 +0! +0" +0# +0$ +08 +0> +0K +#7870000000 +1! +1" +1# +1$ +18 +1> +1K +#7870100000 +#7871100000 +#7880000000 +0! +0" +0# +0$ +08 +0> +0K +#7890000000 +1! +1" +1# +1$ +18 +1> +1K +#7890100000 +#7891100000 +#7900000000 +0! +0" +0# +0$ +08 +0> +0K +#7910000000 +1! +1" +1# +1$ +18 +1> +1K +#7910100000 +#7911100000 +#7920000000 +0! +0" +0# +0$ +08 +0> +0K +#7930000000 +1! +1" +1# +1$ +18 +1> +1K +#7930100000 +#7931100000 +#7940000000 +0! +0" +0# +0$ +08 +0> +0K +#7950000000 +1! +1" +1# +1$ +18 +1> +1K +#7950100000 +#7951100000 +#7960000000 +0! +0" +0# +0$ +08 +0> +0K +#7970000000 +1! +1" +1# +1$ +18 +1> +1K +#7970100000 +#7971100000 +#7980000000 +0! +0" +0# +0$ +08 +0> +0K +#7990000000 +1! +1" +1# +1$ +18 +1> +1K +#7990100000 +#7991100000 +#8000000000 +0! +0" +0# +0$ +08 +0> +0K +#8010000000 +1! +1" +1# +1$ +18 +1> +1K +#8010100000 +#8011100000 +#8020000000 +0! +0" +0# +0$ +08 +0> +0K +#8030000000 +1! +1" +1# +1$ +18 +1> +1K +#8030100000 +#8031100000 +#8040000000 +0! +0" +0# +0$ +08 +0> +0K +#8050000000 +1! +1" +1# +1$ +18 +1> +1K +#8050100000 +#8051100000 +#8060000000 +0! +0" +0# +0$ +08 +0> +0K +#8070000000 +1! +1" +1# +1$ +18 +1> +1K +#8070100000 +#8071100000 +#8080000000 +0! +0" +0# +0$ +08 +0> +0K +#8090000000 +1! +1" +1# +1$ +18 +1> +1K +#8090100000 +#8091100000 +#8100000000 +0! +0" +0# +0$ +08 +0> +0K +#8110000000 +1! +1" +1# +1$ +18 +1> +1K +#8110100000 +#8111100000 +#8120000000 +0! +0" +0# +0$ +08 +0> +0K +#8130000000 +1! +1" +1# +1$ +18 +1> +1K +#8130100000 +#8131100000 +#8140000000 +0! +0" +0# +0$ +08 +0> +0K +#8150000000 +1! +1" +1# +1$ +18 +1> +1K +#8150100000 +#8151100000 +#8160000000 +0! +0" +0# +0$ +08 +0> +0K +#8170000000 +1! +1" +1# +1$ +18 +1> +1K +#8170100000 +#8171100000 +#8180000000 +0! +0" +0# +0$ +08 +0> +0K +#8190000000 +1! +1" +1# +1$ +18 +1> +1K +#8190100000 +#8191100000 +#8200000000 +0! +0" +0# +0$ +08 +0> +0K +#8210000000 +1! +1" +1# +1$ +18 +1> +1K +#8210100000 +#8211100000 +#8220000000 +0! +0" +0# +0$ +08 +0> +0K +#8230000000 +1! +1" +1# +1$ +18 +1> +1K +#8230100000 +#8231100000 +#8240000000 +0! +0" +0# +0$ +08 +0> +0K +#8250000000 +1! +1" +1# +1$ +18 +1> +1K +#8250100000 +#8251100000 +#8260000000 +0! +0" +0# +0$ +08 +0> +0K +#8270000000 +1! +1" +1# +1$ +18 +1> +1K +#8270100000 +#8271100000 +#8280000000 +0! +0" +0# +0$ +08 +0> +0K +#8290000000 +1! +1" +1# +1$ +18 +1> +1K +#8290100000 +#8291100000 +#8300000000 +0! +0" +0# +0$ +08 +0> +0K +#8310000000 +1! +1" +1# +1$ +18 +1> +1K +#8310100000 +#8311100000 +#8320000000 +0! +0" +0# +0$ +08 +0> +0K +#8330000000 +1! +1" +1# +1$ +18 +1> +1K +#8330100000 +#8331100000 +#8340000000 +0! +0" +0# +0$ +08 +0> +0K +#8350000000 +1! +1" +1# +1$ +18 +1> +1K +#8350100000 +#8351100000 +#8360000000 +0! +0" +0# +0$ +08 +0> +0K +#8370000000 +1! +1" +1# +1$ +18 +1> +1K +#8370100000 +#8371100000 +#8380000000 +0! +0" +0# +0$ +08 +0> +0K +#8390000000 +1! +1" +1# +1$ +18 +1> +1K +#8390100000 +#8391100000 +#8400000000 +0! +0" +0# +0$ +08 +0> +0K +#8410000000 +1! +1" +1# +1$ +18 +1> +1K +#8410100000 +#8411100000 +#8420000000 +0! +0" +0# +0$ +08 +0> +0K +#8430000000 +1! +1" +1# +1$ +18 +1> +1K +#8430100000 +#8431100000 +#8440000000 +0! +0" +0# +0$ +08 +0> +0K +#8450000000 +1! +1" +1# +1$ +18 +1> +1K +#8450100000 +#8451100000 +#8460000000 +0! +0" +0# +0$ +08 +0> +0K +#8470000000 +1! +1" +1# +1$ +18 +1> +1K +#8470100000 +#8471100000 +#8480000000 +0! +0" +0# +0$ +08 +0> +0K +#8490000000 +1! +1" +1# +1$ +18 +1> +1K +#8490100000 +#8491100000 +#8500000000 +0! +0" +0# +0$ +08 +0> +0K +#8510000000 +1! +1" +1# +1$ +18 +1> +1K +#8510100000 +#8511100000 +#8520000000 +0! +0" +0# +0$ +08 +0> +0K +#8530000000 +1! +1" +1# +1$ +18 +1> +1K +#8530100000 +#8531100000 +#8540000000 +0! +0" +0# +0$ +08 +0> +0K +#8550000000 +1! +1" +1# +1$ +18 +1> +1K +#8550100000 +#8551100000 +#8560000000 +0! +0" +0# +0$ +08 +0> +0K +#8570000000 +1! +1" +1# +1$ +18 +1> +1K +#8570100000 +#8571100000 +#8580000000 +0! +0" +0# +0$ +08 +0> +0K +#8590000000 +1! +1" +1# +1$ +18 +1> +1K +#8590100000 +#8591100000 +#8600000000 +0! +0" +0# +0$ +08 +0> +0K +#8610000000 +1! +1" +1# +1$ +18 +1> +1K +#8610100000 +#8611100000 +#8620000000 +0! +0" +0# +0$ +08 +0> +0K +#8630000000 +1! +1" +1# +1$ +18 +1> +1K +#8630100000 +#8631100000 +#8640000000 +0! +0" +0# +0$ +08 +0> +0K +#8650000000 +1! +1" +1# +1$ +18 +1> +1K +#8650100000 +#8651100000 +#8660000000 +0! +0" +0# +0$ +08 +0> +0K +#8670000000 +1! +1" +1# +1$ +18 +1> +1K +#8670100000 +#8671100000 +#8680000000 +0! +0" +0# +0$ +08 +0> +0K +#8690000000 +1! +1" +1# +1$ +18 +1> +1K +#8690100000 +#8691100000 +#8700000000 +0! +0" +0# +0$ +08 +0> +0K +#8710000000 +1! +1" +1# +1$ +18 +1> +1K +#8710100000 +#8711100000 +#8720000000 +0! +0" +0# +0$ +08 +0> +0K +#8730000000 +1! +1" +1# +1$ +18 +1> +1K +#8730100000 +#8731100000 +#8740000000 +0! +0" +0# +0$ +08 +0> +0K +#8750000000 +1! +1" +1# +1$ +18 +1> +1K +#8750100000 +#8751100000 +#8760000000 +0! +0" +0# +0$ +08 +0> +0K +#8770000000 +1! +1" +1# +1$ +18 +1> +1K +#8770100000 +#8771100000 +#8780000000 +0! +0" +0# +0$ +08 +0> +0K +#8790000000 +1! +1" +1# +1$ +18 +1> +1K +#8790100000 +#8791100000 +#8800000000 +0! +0" +0# +0$ +08 +0> +0K +#8810000000 +1! +1" +1# +1$ +18 +1> +1K +#8810100000 +#8811100000 +#8820000000 +0! +0" +0# +0$ +08 +0> +0K +#8830000000 +1! +1" +1# +1$ +18 +1> +1K +#8830100000 +#8831100000 +#8840000000 +0! +0" +0# +0$ +08 +0> +0K +#8850000000 +1! +1" +1# +1$ +18 +1> +1K +#8850100000 +#8851100000 +#8860000000 +0! +0" +0# +0$ +08 +0> +0K +#8870000000 +1! +1" +1# +1$ +18 +1> +1K +#8870100000 +#8871100000 +#8880000000 +0! +0" +0# +0$ +08 +0> +0K +#8890000000 +1! +1" +1# +1$ +18 +1> +1K +#8890100000 +#8891100000 +#8900000000 +0! +0" +0# +0$ +08 +0> +0K +#8910000000 +1! +1" +1# +1$ +18 +1> +1K +#8910100000 +#8911100000 +#8920000000 +0! +0" +0# +0$ +08 +0> +0K +#8930000000 +1! +1" +1# +1$ +18 +1> +1K +#8930100000 +#8931100000 +#8940000000 +0! +0" +0# +0$ +08 +0> +0K +#8950000000 +1! +1" +1# +1$ +18 +1> +1K +#8950100000 +#8951100000 +#8960000000 +0! +0" +0# +0$ +08 +0> +0K +#8970000000 +1! +1" +1# +1$ +18 +1> +1K +#8970100000 +#8971100000 +#8980000000 +0! +0" +0# +0$ +08 +0> +0K +#8990000000 +1! +1" +1# +1$ +18 +1> +1K +#8990100000 +#8991100000 +#9000000000 +0! +0" +0# +0$ +08 +0> +0K +#9010000000 +1! +1" +1# +1$ +18 +1> +1K +#9010100000 +#9011100000 +#9020000000 +0! +0" +0# +0$ +08 +0> +0K +#9030000000 +1! +1" +1# +1$ +18 +1> +1K +#9030100000 +#9031100000 +#9040000000 +0! +0" +0# +0$ +08 +0> +0K +#9050000000 +1! +1" +1# +1$ +18 +1> +1K +#9050100000 +#9051100000 +#9060000000 +0! +0" +0# +0$ +08 +0> +0K +#9070000000 +1! +1" +1# +1$ +18 +1> +1K +#9070100000 +#9071100000 +#9080000000 +0! +0" +0# +0$ +08 +0> +0K +#9090000000 +1! +1" +1# +1$ +18 +1> +1K +#9090100000 +#9091100000 +#9100000000 +0! +0" +0# +0$ +08 +0> +0K +#9110000000 +1! +1" +1# +1$ +18 +1> +1K +#9110100000 +#9111100000 +#9120000000 +0! +0" +0# +0$ +08 +0> +0K +#9130000000 +1! +1" +1# +1$ +18 +1> +1K +#9130100000 +#9131100000 +#9140000000 +0! +0" +0# +0$ +08 +0> +0K +#9150000000 +1! +1" +1# +1$ +18 +1> +1K +#9150100000 +#9151100000 +#9160000000 +0! +0" +0# +0$ +08 +0> +0K +#9170000000 +1! +1" +1# +1$ +18 +1> +1K +#9170100000 +#9171100000 +#9180000000 +0! +0" +0# +0$ +08 +0> +0K +#9190000000 +1! +1" +1# +1$ +18 +1> +1K +#9190100000 +#9191100000 +#9200000000 +0! +0" +0# +0$ +08 +0> +0K +#9210000000 +1! +1" +1# +1$ +18 +1> +1K +#9210100000 +#9211100000 +#9220000000 +0! +0" +0# +0$ +08 +0> +0K +#9230000000 +1! +1" +1# +1$ +18 +1> +1K +#9230100000 +#9231100000 +#9240000000 +0! +0" +0# +0$ +08 +0> +0K +#9250000000 +1! +1" +1# +1$ +18 +1> +1K +#9250100000 +#9251100000 +#9260000000 +0! +0" +0# +0$ +08 +0> +0K +#9270000000 +1! +1" +1# +1$ +18 +1> +1K +#9270100000 +#9271100000 +#9280000000 +0! +0" +0# +0$ +08 +0> +0K +#9290000000 +1! +1" +1# +1$ +18 +1> +1K +#9290100000 +#9291100000 +#9300000000 +0! +0" +0# +0$ +08 +0> +0K +#9310000000 +1! +1" +1# +1$ +18 +1> +1K +#9310100000 +#9311100000 +#9320000000 +0! +0" +0# +0$ +08 +0> +0K +#9330000000 +1! +1" +1# +1$ +18 +1> +1K +#9330100000 +#9331100000 +#9340000000 +0! +0" +0# +0$ +08 +0> +0K +#9350000000 +1! +1" +1# +1$ +18 +1> +1K +#9350100000 +#9351100000 +#9360000000 +0! +0" +0# +0$ +08 +0> +0K +#9370000000 +1! +1" +1# +1$ +18 +1> +1K +#9370100000 +#9371100000 +#9380000000 +0! +0" +0# +0$ +08 +0> +0K +#9390000000 +1! +1" +1# +1$ +18 +1> +1K +#9390100000 +#9391100000 +#9400000000 +0! +0" +0# +0$ +08 +0> +0K +#9410000000 +1! +1" +1# +1$ +18 +1> +1K +#9410100000 +#9411100000 +#9420000000 +0! +0" +0# +0$ +08 +0> +0K +#9430000000 +1! +1" +1# +1$ +18 +1> +1K +#9430100000 +#9431100000 +#9440000000 +0! +0" +0# +0$ +08 +0> +0K +#9450000000 +1! +1" +1# +1$ +18 +1> +1K +#9450100000 +#9451100000 +#9460000000 +0! +0" +0# +0$ +08 +0> +0K +#9470000000 +1! +1" +1# +1$ +18 +1> +1K +#9470100000 +#9471100000 +#9480000000 +0! +0" +0# +0$ +08 +0> +0K +#9490000000 +1! +1" +1# +1$ +18 +1> +1K +#9490100000 +#9491100000 +#9500000000 +0! +0" +0# +0$ +08 +0> +0K +#9510000000 +1! +1" +1# +1$ +18 +1> +1K +#9510100000 +#9511100000 +#9520000000 +0! +0" +0# +0$ +08 +0> +0K +#9530000000 +1! +1" +1# +1$ +18 +1> +1K +#9530100000 +#9531100000 +#9540000000 +0! +0" +0# +0$ +08 +0> +0K +#9550000000 +1! +1" +1# +1$ +18 +1> +1K +#9550100000 +#9551100000 +#9560000000 +0! +0" +0# +0$ +08 +0> +0K +#9570000000 +1! +1" +1# +1$ +18 +1> +1K +#9570100000 +#9571100000 +#9580000000 +0! +0" +0# +0$ +08 +0> +0K +#9590000000 +1! +1" +1# +1$ +18 +1> +1K +#9590100000 +#9591100000 +#9600000000 +0! +0" +0# +0$ +08 +0> +0K +#9610000000 +1! +1" +1# +1$ +18 +1> +1K +#9610100000 +#9611100000 +#9620000000 +0! +0" +0# +0$ +08 +0> +0K +#9630000000 +1! +1" +1# +1$ +18 +1> +1K +#9630100000 +#9631100000 +#9640000000 +0! +0" +0# +0$ +08 +0> +0K +#9650000000 +1! +1" +1# +1$ +18 +1> +1K +#9650100000 +#9651100000 +#9660000000 +0! +0" +0# +0$ +08 +0> +0K +#9670000000 +1! +1" +1# +1$ +18 +1> +1K +#9670100000 +#9671100000 +#9680000000 +0! +0" +0# +0$ +08 +0> +0K +#9690000000 +1! +1" +1# +1$ +18 +1> +1K +#9690100000 +#9691100000 +#9700000000 +0! +0" +0# +0$ +08 +0> +0K +#9710000000 +1! +1" +1# +1$ +18 +1> +1K +#9710100000 +#9711100000 +#9720000000 +0! +0" +0# +0$ +08 +0> +0K +#9730000000 +1! +1" +1# +1$ +18 +1> +1K +#9730100000 +#9731100000 +#9740000000 +0! +0" +0# +0$ +08 +0> +0K +#9750000000 +1! +1" +1# +1$ +18 +1> +1K +#9750100000 +#9751100000 +#9760000000 +0! +0" +0# +0$ +08 +0> +0K +#9770000000 +1! +1" +1# +1$ +18 +1> +1K +#9770100000 +#9771100000 +#9780000000 +0! +0" +0# +0$ +08 +0> +0K +#9790000000 +1! +1" +1# +1$ +18 +1> +1K +#9790100000 +#9791100000 +#9800000000 +0! +0" +0# +0$ +08 +0> +0K +#9810000000 +1! +1" +1# +1$ +18 +1> +1K +#9810100000 +#9811100000 +#9820000000 +0! +0" +0# +0$ +08 +0> +0K +#9830000000 +1! +1" +1# +1$ +18 +1> +1K +#9830100000 +#9831100000 +#9840000000 +0! +0" +0# +0$ +08 +0> +0K +#9850000000 +1! +1" +1# +1$ +18 +1> +1K +#9850100000 +#9851100000 +#9860000000 +0! +0" +0# +0$ +08 +0> +0K +#9870000000 +1! +1" +1# +1$ +18 +1> +1K +#9870100000 +#9871100000 +#9880000000 +0! +0" +0# +0$ +08 +0> +0K +#9890000000 +1! +1" +1# +1$ +18 +1> +1K +#9890100000 +#9891100000 +#9900000000 +0! +0" +0# +0$ +08 +0> +0K +#9910000000 +1! +1" +1# +1$ +18 +1> +1K +#9910100000 +#9911100000 +#9920000000 +0! +0" +0# +0$ +08 +0> +0K +#9930000000 +1! +1" +1# +1$ +18 +1> +1K +#9930100000 +#9931100000 +#9940000000 +0! +0" +0# +0$ +08 +0> +0K +#9950000000 +1! +1" +1# +1$ +18 +1> +1K +#9950100000 +#9951100000 +#9960000000 +0! +0" +0# +0$ +08 +0> +0K +#9970000000 +1! +1" +1# +1$ +18 +1> +1K +#9970100000 +#9971100000 +#9980000000 +0! +0" +0# +0$ +08 +0> +0K +#9990000000 +1! +1" +1# +1$ +18 +1> +1K +#9990100000 +#9991100000 +#10000000000 +0! +0" +0# +0$ +08 +0> +0K +#10010000000 +1! +1" +1# +1$ +18 +1> +1K +#10010100000 +#10011100000 +#10020000000 +0! +0" +0# +0$ +08 +0> +0K +#10030000000 +1! +1" +1# +1$ +18 +1> +1K +#10030100000 +#10031100000 +#10040000000 +0! +0" +0# +0$ +08 +0> +0K +#10050000000 +1! +1" +1# +1$ +18 +1> +1K +#10050100000 +#10051100000 +#10060000000 +0! +0" +0# +0$ +08 +0> +0K +#10070000000 +1! +1" +1# +1$ +18 +1> +1K +#10070100000 +#10071100000 +#10080000000 +0! +0" +0# +0$ +08 +0> +0K +#10090000000 +1! +1" +1# +1$ +18 +1> +1K +#10090100000 +#10091100000 +#10100000000 +0! +0" +0# +0$ +08 +0> +0K +#10110000000 +1! +1" +1# +1$ +18 +1> +1K +#10110100000 +#10111100000 +#10120000000 +0! +0" +0# +0$ +08 +0> +0K +#10130000000 +1! +1" +1# +1$ +18 +1> +1K +#10130100000 +#10131100000 +#10140000000 +0! +0" +0# +0$ +08 +0> +0K +#10150000000 +1! +1" +1# +1$ +18 +1> +1K +#10150100000 +#10151100000 +#10160000000 +0! +0" +0# +0$ +08 +0> +0K +#10170000000 +1! +1" +1# +1$ +18 +1> +1K +#10170100000 +#10171100000 +#10180000000 +0! +0" +0# +0$ +08 +0> +0K +#10190000000 +1! +1" +1# +1$ +18 +1> +1K +#10190100000 +#10191100000 +#10200000000 +0! +0" +0# +0$ +08 +0> +0K +#10210000000 +1! +1" +1# +1$ +18 +1> +1K +#10210100000 +#10211100000 +#10220000000 +0! +0" +0# +0$ +08 +0> +0K +#10230000000 +1! +1" +1# +1$ +18 +1> +1K +#10230100000 +#10231100000 +#10240000000 +0! +0" +0# +0$ +08 +0> +0K +#10250000000 +1! +1" +1# +1$ +18 +1> +1K +#10250100000 +#10251100000 +#10260000000 +0! +0" +0# +0$ +08 +0> +0K +#10270000000 +1! +1" +1# +1$ +18 +1> +1K +#10270100000 +#10271100000 +#10280000000 +0! +0" +0# +0$ +08 +0> +0K +#10290000000 +1! +1" +1# +1$ +18 +1> +1K +#10290100000 +#10291100000 +#10300000000 +0! +0" +0# +0$ +08 +0> +0K +#10310000000 +1! +1" +1# +1$ +18 +1> +1K +#10310100000 +#10311100000 +#10320000000 +0! +0" +0# +0$ +08 +0> +0K +#10330000000 +1! +1" +1# +1$ +18 +1> +1K +#10330100000 +#10331100000 +#10340000000 +0! +0" +0# +0$ +08 +0> +0K +#10350000000 +1! +1" +1# +1$ +18 +1> +1K +#10350100000 +#10351100000 +#10360000000 +0! +0" +0# +0$ +08 +0> +0K +#10370000000 +1! +1" +1# +1$ +18 +1> +1K +#10370100000 +#10371100000 +#10380000000 +0! +0" +0# +0$ +08 +0> +0K +#10390000000 +1! +1" +1# +1$ +18 +1> +1K +#10390100000 +#10391100000 +#10400000000 +0! +0" +0# +0$ +08 +0> +0K +#10410000000 +1! +1" +1# +1$ +18 +1> +1K +#10410100000 +#10411100000 +#10420000000 +0! +0" +0# +0$ +08 +0> +0K +#10430000000 +1! +1" +1# +1$ +18 +1> +1K +#10430100000 +#10431100000 +#10440000000 +0! +0" +0# +0$ +08 +0> +0K +#10450000000 +1! +1" +1# +1$ +18 +1> +1K +#10450100000 +#10451100000 +#10460000000 +0! +0" +0# +0$ +08 +0> +0K +#10470000000 +1! +1" +1# +1$ +18 +1> +1K +#10470100000 +#10471100000 +#10480000000 +0! +0" +0# +0$ +08 +0> +0K +#10490000000 +1! +1" +1# +1$ +18 +1> +1K +#10490100000 +#10491100000 +#10500000000 +0! +0" +0# +0$ +08 +0> +0K +#10510000000 +1! +1" +1# +1$ +18 +1> +1K +#10510100000 +#10511100000 +#10520000000 +0! +0" +0# +0$ +08 +0> +0K +#10530000000 +1! +1" +1# +1$ +18 +1> +1K +#10530100000 +#10531100000 +#10540000000 +0! +0" +0# +0$ +08 +0> +0K +#10550000000 +1! +1" +1# +1$ +18 +1> +1K +#10550100000 +#10551100000 +#10560000000 +0! +0" +0# +0$ +08 +0> +0K +#10570000000 +1! +1" +1# +1$ +18 +1> +1K +#10570100000 +#10571100000 +#10580000000 +0! +0" +0# +0$ +08 +0> +0K +#10590000000 +1! +1" +1# +1$ +18 +1> +1K +#10590100000 +#10591100000 +#10600000000 +0! +0" +0# +0$ +08 +0> +0K +#10610000000 +1! +1" +1# +1$ +18 +1> +1K +#10610100000 +#10611100000 +#10620000000 +0! +0" +0# +0$ +08 +0> +0K +#10630000000 +1! +1" +1# +1$ +18 +1> +1K +#10630100000 +#10631100000 +#10640000000 +0! +0" +0# +0$ +08 +0> +0K +#10650000000 +1! +1" +1# +1$ +18 +1> +1K +#10650100000 +#10651100000 +#10660000000 +0! +0" +0# +0$ +08 +0> +0K +#10670000000 +1! +1" +1# +1$ +18 +1> +1K +#10670100000 +#10671100000 +#10680000000 +0! +0" +0# +0$ +08 +0> +0K +#10690000000 +1! +1" +1# +1$ +18 +1> +1K +#10690100000 +#10691100000 +#10700000000 +0! +0" +0# +0$ +08 +0> +0K +#10710000000 +1! +1" +1# +1$ +18 +1> +1K +#10710100000 +#10711100000 +#10720000000 +0! +0" +0# +0$ +08 +0> +0K +#10730000000 +1! +1" +1# +1$ +18 +1> +1K +#10730100000 +#10731100000 +#10740000000 +0! +0" +0# +0$ +08 +0> +0K +#10750000000 +1! +1" +1# +1$ +18 +1> +1K +#10750100000 +#10751100000 +#10760000000 +0! +0" +0# +0$ +08 +0> +0K +#10770000000 +1! +1" +1# +1$ +18 +1> +1K +#10770100000 +#10771100000 +#10780000000 +0! +0" +0# +0$ +08 +0> +0K +#10790000000 +1! +1" +1# +1$ +18 +1> +1K +#10790100000 +#10791100000 +#10800000000 +0! +0" +0# +0$ +08 +0> +0K +#10810000000 +1! +1" +1# +1$ +18 +1> +1K +#10810100000 +#10811100000 +#10820000000 +0! +0" +0# +0$ +08 +0> +0K +#10830000000 +1! +1" +1# +1$ +18 +1> +1K +#10830100000 +#10831100000 +#10840000000 +0! +0" +0# +0$ +08 +0> +0K +#10850000000 +1! +1" +1# +1$ +18 +1> +1K +#10850100000 +#10851100000 +#10860000000 +0! +0" +0# +0$ +08 +0> +0K +#10870000000 +1! +1" +1# +1$ +18 +1> +1K +#10870100000 +#10871100000 +#10880000000 +0! +0" +0# +0$ +08 +0> +0K +#10890000000 +1! +1" +1# +1$ +18 +1> +1K +#10890100000 +#10891100000 +#10900000000 +0! +0" +0# +0$ +08 +0> +0K +#10910000000 +1! +1" +1# +1$ +18 +1> +1K +#10910100000 +#10911100000 +#10920000000 +0! +0" +0# +0$ +08 +0> +0K +#10930000000 +1! +1" +1# +1$ +18 +1> +1K +#10930100000 +#10931100000 +#10940000000 +0! +0" +0# +0$ +08 +0> +0K +#10950000000 +1! +1" +1# +1$ +18 +1> +1K +#10950100000 +#10951100000 +#10960000000 +0! +0" +0# +0$ +08 +0> +0K +#10970000000 +1! +1" +1# +1$ +18 +1> +1K +#10970100000 +#10971100000 +#10980000000 +0! +0" +0# +0$ +08 +0> +0K +#10990000000 +1! +1" +1# +1$ +18 +1> +1K +#10990100000 +#10991100000 +#11000000000 +0! +0" +0# +0$ +08 +0> +0K +#11010000000 +1! +1" +1# +1$ +18 +1> +1K +#11010100000 +#11011100000 +#11020000000 +0! +0" +0# +0$ +08 +0> +0K +#11030000000 +1! +1" +1# +1$ +18 +1> +1K +#11030100000 +#11031100000 +#11040000000 +0! +0" +0# +0$ +08 +0> +0K +#11050000000 +1! +1" +1# +1$ +18 +1> +1K +#11050100000 +#11051100000 +#11060000000 +0! +0" +0# +0$ +08 +0> +0K +#11070000000 +1! +1" +1# +1$ +18 +1> +1K +#11070100000 +#11071100000 +#11080000000 +0! +0" +0# +0$ +08 +0> +0K +#11090000000 +1! +1" +1# +1$ +18 +1> +1K +#11090100000 +#11091100000 +#11100000000 +0! +0" +0# +0$ +08 +0> +0K +#11110000000 +1! +1" +1# +1$ +18 +1> +1K +#11110100000 +#11111100000 +#11120000000 +0! +0" +0# +0$ +08 +0> +0K +#11130000000 +1! +1" +1# +1$ +18 +1> +1K +#11130100000 +#11131100000 +#11140000000 +0! +0" +0# +0$ +08 +0> +0K +#11150000000 +1! +1" +1# +1$ +18 +1> +1K +#11150100000 +#11151100000 +#11160000000 +0! +0" +0# +0$ +08 +0> +0K +#11170000000 +1! +1" +1# +1$ +18 +1> +1K +#11170100000 +#11171100000 +#11180000000 +0! +0" +0# +0$ +08 +0> +0K +#11190000000 +1! +1" +1# +1$ +18 +1> +1K +#11190100000 +#11191100000 +#11200000000 +0! +0" +0# +0$ +08 +0> +0K +#11210000000 +1! +1" +1# +1$ +18 +1> +1K +#11210100000 +#11211100000 +#11220000000 +0! +0" +0# +0$ +08 +0> +0K +#11230000000 +1! +1" +1# +1$ +18 +1> +1K +#11230100000 +#11231100000 +#11240000000 +0! +0" +0# +0$ +08 +0> +0K +#11250000000 +1! +1" +1# +1$ +18 +1> +1K +#11250100000 +#11251100000 +#11260000000 +0! +0" +0# +0$ +08 +0> +0K +#11270000000 +1! +1" +1# +1$ +18 +1> +1K +#11270100000 +#11271100000 +#11280000000 +0! +0" +0# +0$ +08 +0> +0K +#11290000000 +1! +1" +1# +1$ +18 +1> +1K +#11290100000 +#11291100000 +#11300000000 +0! +0" +0# +0$ +08 +0> +0K +#11310000000 +1! +1" +1# +1$ +18 +1> +1K +#11310100000 +#11311100000 +#11320000000 +0! +0" +0# +0$ +08 +0> +0K +#11330000000 +1! +1" +1# +1$ +18 +1> +1K +#11330100000 +#11331100000 +#11340000000 +0! +0" +0# +0$ +08 +0> +0K +#11350000000 +1! +1" +1# +1$ +18 +1> +1K +#11350100000 +#11351100000 +#11360000000 +0! +0" +0# +0$ +08 +0> +0K +#11370000000 +1! +1" +1# +1$ +18 +1> +1K +#11370100000 +#11371100000 +#11380000000 +0! +0" +0# +0$ +08 +0> +0K +#11390000000 +1! +1" +1# +1$ +18 +1> +1K +#11390100000 +#11391100000 +#11400000000 +0! +0" +0# +0$ +08 +0> +0K +#11410000000 +1! +1" +1# +1$ +18 +1> +1K +#11410100000 +#11411100000 +#11420000000 +0! +0" +0# +0$ +08 +0> +0K +#11430000000 +1! +1" +1# +1$ +18 +1> +1K +#11430100000 +#11431100000 +#11440000000 +0! +0" +0# +0$ +08 +0> +0K +#11450000000 +1! +1" +1# +1$ +18 +1> +1K +#11450100000 +#11451100000 +#11460000000 +0! +0" +0# +0$ +08 +0> +0K +#11470000000 +1! +1" +1# +1$ +18 +1> +1K +#11470100000 +#11471100000 +#11480000000 +0! +0" +0# +0$ +08 +0> +0K +#11490000000 +1! +1" +1# +1$ +18 +1> +1K +#11490100000 +#11491100000 +#11500000000 +0! +0" +0# +0$ +08 +0> +0K +#11510000000 +1! +1" +1# +1$ +18 +1> +1K +#11510100000 +#11511100000 +#11520000000 +0! +0" +0# +0$ +08 +0> +0K +#11530000000 +1! +1" +1# +1$ +18 +1> +1K +#11530100000 +#11531100000 +#11540000000 +0! +0" +0# +0$ +08 +0> +0K +#11550000000 +1! +1" +1# +1$ +18 +1> +1K +#11550100000 +#11551100000 +#11560000000 +0! +0" +0# +0$ +08 +0> +0K +#11570000000 +1! +1" +1# +1$ +18 +1> +1K +#11570100000 +#11571100000 +#11580000000 +0! +0" +0# +0$ +08 +0> +0K +#11590000000 +1! +1" +1# +1$ +18 +1> +1K +#11590100000 +#11591100000 +#11600000000 +0! +0" +0# +0$ +08 +0> +0K +#11610000000 +1! +1" +1# +1$ +18 +1> +1K +#11610100000 +#11611100000 +#11620000000 +0! +0" +0# +0$ +08 +0> +0K +#11630000000 +1! +1" +1# +1$ +18 +1> +1K +#11630100000 +#11631100000 +#11640000000 +0! +0" +0# +0$ +08 +0> +0K +#11650000000 +1! +1" +1# +1$ +18 +1> +1K +#11650100000 +#11651100000 +#11660000000 +0! +0" +0# +0$ +08 +0> +0K +#11670000000 +1! +1" +1# +1$ +18 +1> +1K +#11670100000 +#11671100000 +#11680000000 +0! +0" +0# +0$ +08 +0> +0K +#11690000000 +1! +1" +1# +1$ +18 +1> +1K +#11690100000 +#11691100000 +#11700000000 +0! +0" +0# +0$ +08 +0> +0K +#11710000000 +1! +1" +1# +1$ +18 +1> +1K +#11710100000 +#11711100000 +#11720000000 +0! +0" +0# +0$ +08 +0> +0K +#11730000000 +1! +1" +1# +1$ +18 +1> +1K +#11730100000 +#11731100000 +#11740000000 +0! +0" +0# +0$ +08 +0> +0K +#11750000000 +1! +1" +1# +1$ +18 +1> +1K +#11750100000 +#11751100000 +#11760000000 +0! +0" +0# +0$ +08 +0> +0K +#11770000000 +1! +1" +1# +1$ +18 +1> +1K +#11770100000 +#11771100000 +#11780000000 +0! +0" +0# +0$ +08 +0> +0K +#11790000000 +1! +1" +1# +1$ +18 +1> +1K +#11790100000 +#11791100000 +#11800000000 +0! +0" +0# +0$ +08 +0> +0K +#11810000000 +1! +1" +1# +1$ +18 +1> +1K +#11810100000 +#11811100000 +#11820000000 +0! +0" +0# +0$ +08 +0> +0K +#11830000000 +1! +1" +1# +1$ +18 +1> +1K +#11830100000 +#11831100000 +#11840000000 +0! +0" +0# +0$ +08 +0> +0K +#11850000000 +1! +1" +1# +1$ +18 +1> +1K +#11850100000 +#11851100000 +#11860000000 +0! +0" +0# +0$ +08 +0> +0K +#11870000000 +1! +1" +1# +1$ +18 +1> +1K +#11870100000 +#11871100000 +#11880000000 +0! +0" +0# +0$ +08 +0> +0K +#11890000000 +1! +1" +1# +1$ +18 +1> +1K +#11890100000 +#11891100000 +#11900000000 +0! +0" +0# +0$ +08 +0> +0K +#11910000000 +1! +1" +1# +1$ +18 +1> +1K +#11910100000 +#11911100000 +#11920000000 +0! +0" +0# +0$ +08 +0> +0K +#11930000000 +1! +1" +1# +1$ +18 +1> +1K +#11930100000 +#11931100000 +#11940000000 +0! +0" +0# +0$ +08 +0> +0K +#11950000000 +1! +1" +1# +1$ +18 +1> +1K +#11950100000 +#11951100000 +#11960000000 +0! +0" +0# +0$ +08 +0> +0K +#11970000000 +1! +1" +1# +1$ +18 +1> +1K +#11970100000 +#11971100000 +#11980000000 +0! +0" +0# +0$ +08 +0> +0K +#11990000000 +1! +1" +1# +1$ +18 +1> +1K +#11990100000 +#11991100000 +#12000000000 +0! +0" +0# +0$ +08 +0> +0K +#12010000000 +1! +1" +1# +1$ +18 +1> +1K +#12010100000 +#12011100000 +#12020000000 +0! +0" +0# +0$ +08 +0> +0K +#12030000000 +1! +1" +1# +1$ +18 +1> +1K +#12030100000 +#12031100000 +#12040000000 +0! +0" +0# +0$ +08 +0> +0K +#12050000000 +1! +1" +1# +1$ +18 +1> +1K +#12050100000 +#12051100000 +#12060000000 +0! +0" +0# +0$ +08 +0> +0K +#12070000000 +1! +1" +1# +1$ +18 +1> +1K +#12070100000 +#12071100000 +#12080000000 +0! +0" +0# +0$ +08 +0> +0K +#12090000000 +1! +1" +1# +1$ +18 +1> +1K +#12090100000 +#12091100000 +#12100000000 +0! +0" +0# +0$ +08 +0> +0K +#12110000000 +1! +1" +1# +1$ +18 +1> +1K +#12110100000 +#12111100000 +#12120000000 +0! +0" +0# +0$ +08 +0> +0K +#12130000000 +1! +1" +1# +1$ +18 +1> +1K +#12130100000 +#12131100000 +#12140000000 +0! +0" +0# +0$ +08 +0> +0K +#12150000000 +1! +1" +1# +1$ +18 +1> +1K +#12150100000 +#12151100000 +#12160000000 +0! +0" +0# +0$ +08 +0> +0K +#12170000000 +1! +1" +1# +1$ +18 +1> +1K +#12170100000 +#12171100000 +#12180000000 +0! +0" +0# +0$ +08 +0> +0K +#12190000000 +1! +1" +1# +1$ +18 +1> +1K +#12190100000 +#12191100000 +#12200000000 +0! +0" +0# +0$ +08 +0> +0K +#12210000000 +1! +1" +1# +1$ +18 +1> +1K +#12210100000 +#12211100000 +#12220000000 +0! +0" +0# +0$ +08 +0> +0K +#12230000000 +1! +1" +1# +1$ +18 +1> +1K +#12230100000 +#12231100000 +#12240000000 +0! +0" +0# +0$ +08 +0> +0K +#12250000000 +1! +1" +1# +1$ +18 +1> +1K +#12250100000 +#12251100000 +#12260000000 +0! +0" +0# +0$ +08 +0> +0K +#12270000000 +1! +1" +1# +1$ +18 +1> +1K +#12270100000 +#12271100000 +#12280000000 +0! +0" +0# +0$ +08 +0> +0K +#12290000000 +1! +1" +1# +1$ +18 +1> +1K +#12290100000 +#12291100000 +#12300000000 +0! +0" +0# +0$ +08 +0> +0K +#12310000000 +1! +1" +1# +1$ +18 +1> +1K +#12310100000 +#12311100000 +#12320000000 +0! +0" +0# +0$ +08 +0> +0K +#12330000000 +1! +1" +1# +1$ +18 +1> +1K +#12330100000 +#12331100000 +#12340000000 +0! +0" +0# +0$ +08 +0> +0K +#12350000000 +1! +1" +1# +1$ +18 +1> +1K +#12350100000 +#12351100000 +#12360000000 +0! +0" +0# +0$ +08 +0> +0K +#12370000000 +1! +1" +1# +1$ +18 +1> +1K +#12370100000 +#12371100000 +#12380000000 +0! +0" +0# +0$ +08 +0> +0K +#12390000000 +1! +1" +1# +1$ +18 +1> +1K +#12390100000 +#12391100000 +#12400000000 +0! +0" +0# +0$ +08 +0> +0K +#12410000000 +1! +1" +1# +1$ +18 +1> +1K +#12410100000 +#12411100000 +#12420000000 +0! +0" +0# +0$ +08 +0> +0K +#12430000000 +1! +1" +1# +1$ +18 +1> +1K +#12430100000 +#12431100000 +#12440000000 +0! +0" +0# +0$ +08 +0> +0K +#12450000000 +1! +1" +1# +1$ +18 +1> +1K +#12450100000 +#12451100000 +#12460000000 +0! +0" +0# +0$ +08 +0> +0K +#12470000000 +1! +1" +1# +1$ +18 +1> +1K +#12470100000 +#12471100000 +#12480000000 +0! +0" +0# +0$ +08 +0> +0K +#12490000000 +1! +1" +1# +1$ +18 +1> +1K +#12490100000 +#12491100000 +#12500000000 +0! +0" +0# +0$ +08 +0> +0K +#12510000000 +1! +1" +1# +1$ +18 +1> +1K +#12510100000 +#12511100000 +#12520000000 +0! +0" +0# +0$ +08 +0> +0K +#12530000000 +1! +1" +1# +1$ +18 +1> +1K +#12530100000 +#12531100000 +#12540000000 +0! +0" +0# +0$ +08 +0> +0K +#12550000000 +1! +1" +1# +1$ +18 +1> +1K +#12550100000 +#12551100000 +#12560000000 +0! +0" +0# +0$ +08 +0> +0K +#12570000000 +1! +1" +1# +1$ +18 +1> +1K +#12570100000 +#12571100000 +#12580000000 +0! +0" +0# +0$ +08 +0> +0K +#12590000000 +1! +1" +1# +1$ +18 +1> +1K +#12590100000 +#12591100000 +#12600000000 +0! +0" +0# +0$ +08 +0> +0K +#12610000000 +1! +1" +1# +1$ +18 +1> +1K +#12610100000 +#12611100000 +#12620000000 +0! +0" +0# +0$ +08 +0> +0K +#12630000000 +1! +1" +1# +1$ +18 +1> +1K +#12630100000 +#12631100000 +#12640000000 +0! +0" +0# +0$ +08 +0> +0K +#12650000000 +1! +1" +1# +1$ +18 +1> +1K +#12650100000 +#12651100000 +#12660000000 +0! +0" +0# +0$ +08 +0> +0K +#12670000000 +1! +1" +1# +1$ +18 +1> +1K +#12670100000 +#12671100000 +#12680000000 +0! +0" +0# +0$ +08 +0> +0K +#12690000000 +1! +1" +1# +1$ +18 +1> +1K +#12690100000 +#12691100000 +#12700000000 +0! +0" +0# +0$ +08 +0> +0K +#12710000000 +1! +1" +1# +1$ +18 +1> +1K +#12710100000 +#12711100000 +#12720000000 +0! +0" +0# +0$ +08 +0> +0K +#12730000000 +1! +1" +1# +1$ +18 +1> +1K +#12730100000 +#12731100000 +#12740000000 +0! +0" +0# +0$ +08 +0> +0K +#12750000000 +1! +1" +1# +1$ +18 +1> +1K +#12750100000 +#12751100000 +#12760000000 +0! +0" +0# +0$ +08 +0> +0K +#12770000000 +1! +1" +1# +1$ +18 +1> +1K +#12770100000 +#12771100000 +#12780000000 +0! +0" +0# +0$ +08 +0> +0K +#12790000000 +1! +1" +1# +1$ +18 +1> +1K +#12790100000 +#12791100000 +#12800000000 +0! +0" +0# +0$ +08 +0> +0K +#12810000000 +1! +1" +1# +1$ +18 +1> +1K +#12810100000 +#12811100000 +#12820000000 +0! +0" +0# +0$ +08 +0> +0K +#12830000000 +1! +1" +1# +1$ +18 +1> +1K +#12830100000 +#12831100000 +#12840000000 +0! +0" +0# +0$ +08 +0> +0K +#12850000000 +1! +1" +1# +1$ +18 +1> +1K +#12850100000 +#12851100000 +#12860000000 +0! +0" +0# +0$ +08 +0> +0K +#12870000000 +1! +1" +1# +1$ +18 +1> +1K +#12870100000 +#12871100000 +#12880000000 +0! +0" +0# +0$ +08 +0> +0K +#12890000000 +1! +1" +1# +1$ +18 +1> +1K +#12890100000 +#12891100000 +#12900000000 +0! +0" +0# +0$ +08 +0> +0K +#12910000000 +1! +1" +1# +1$ +18 +1> +1K +#12910100000 +#12911100000 +#12920000000 +0! +0" +0# +0$ +08 +0> +0K +#12930000000 +1! +1" +1# +1$ +18 +1> +1K +#12930100000 +#12931100000 +#12940000000 +0! +0" +0# +0$ +08 +0> +0K +#12950000000 +1! +1" +1# +1$ +18 +1> +1K +#12950100000 +#12951100000 +#12960000000 +0! +0" +0# +0$ +08 +0> +0K +#12970000000 +1! +1" +1# +1$ +18 +1> +1K +#12970100000 +#12971100000 +#12980000000 +0! +0" +0# +0$ +08 +0> +0K +#12990000000 +1! +1" +1# +1$ +18 +1> +1K +#12990100000 +#12991100000 +#13000000000 +0! +0" +0# +0$ +08 +0> +0K +#13010000000 +1! +1" +1# +1$ +18 +1> +1K +#13010100000 +#13011100000 +#13020000000 +0! +0" +0# +0$ +08 +0> +0K +#13030000000 +1! +1" +1# +1$ +18 +1> +1K +#13030100000 +#13031100000 +#13040000000 +0! +0" +0# +0$ +08 +0> +0K +#13050000000 +1! +1" +1# +1$ +18 +1> +1K +#13050100000 +#13051100000 +#13060000000 +0! +0" +0# +0$ +08 +0> +0K +#13070000000 +1! +1" +1# +1$ +18 +1> +1K +#13070100000 +#13071100000 +#13080000000 +0! +0" +0# +0$ +08 +0> +0K +#13090000000 +1! +1" +1# +1$ +18 +1> +1K +#13090100000 +#13091100000 +#13100000000 +0! +0" +0# +0$ +08 +0> +0K +#13110000000 +1! +1" +1# +1$ +18 +1> +1K +#13110100000 +#13111100000 +#13120000000 +0! +0" +0# +0$ +08 +0> +0K +#13130000000 +1! +1" +1# +1$ +18 +1> +1K +#13130100000 +#13131100000 +#13140000000 +0! +0" +0# +0$ +08 +0> +0K +#13150000000 +1! +1" +1# +1$ +18 +1> +1K +#13150100000 +#13151100000 +#13160000000 +0! +0" +0# +0$ +08 +0> +0K +#13170000000 +1! +1" +1# +1$ +18 +1> +1K +#13170100000 +#13171100000 +#13180000000 +0! +0" +0# +0$ +08 +0> +0K +#13190000000 +1! +1" +1# +1$ +18 +1> +1K +#13190100000 +#13191100000 +#13200000000 +0! +0" +0# +0$ +08 +0> +0K +#13210000000 +1! +1" +1# +1$ +18 +1> +1K +#13210100000 +#13211100000 +#13220000000 +0! +0" +0# +0$ +08 +0> +0K +#13230000000 +1! +1" +1# +1$ +18 +1> +1K +#13230100000 +#13231100000 +#13240000000 +0! +0" +0# +0$ +08 +0> +0K +#13250000000 +1! +1" +1# +1$ +18 +1> +1K +#13250100000 +#13251100000 +#13260000000 +0! +0" +0# +0$ +08 +0> +0K +#13270000000 +1! +1" +1# +1$ +18 +1> +1K +#13270100000 +#13271100000 +#13280000000 +0! +0" +0# +0$ +08 +0> +0K +#13290000000 +1! +1" +1# +1$ +18 +1> +1K +#13290100000 +#13291100000 +#13300000000 +0! +0" +0# +0$ +08 +0> +0K +#13310000000 +1! +1" +1# +1$ +18 +1> +1K +#13310100000 +#13311100000 +#13320000000 +0! +0" +0# +0$ +08 +0> +0K +#13330000000 +1! +1" +1# +1$ +18 +1> +1K +#13330100000 +#13331100000 +#13340000000 +0! +0" +0# +0$ +08 +0> +0K +#13350000000 +1! +1" +1# +1$ +18 +1> +1K +#13350100000 +#13351100000 +#13360000000 +0! +0" +0# +0$ +08 +0> +0K +#13370000000 +1! +1" +1# +1$ +18 +1> +1K +#13370100000 +#13371100000 +#13380000000 +0! +0" +0# +0$ +08 +0> +0K +#13390000000 +1! +1" +1# +1$ +18 +1> +1K +#13390100000 +#13391100000 +#13400000000 +0! +0" +0# +0$ +08 +0> +0K +#13410000000 +1! +1" +1# +1$ +18 +1> +1K +#13410100000 +#13411100000 +#13420000000 +0! +0" +0# +0$ +08 +0> +0K +#13430000000 +1! +1" +1# +1$ +18 +1> +1K +#13430100000 +#13431100000 +#13440000000 +0! +0" +0# +0$ +08 +0> +0K +#13450000000 +1! +1" +1# +1$ +18 +1> +1K +#13450100000 +#13451100000 +#13460000000 +0! +0" +0# +0$ +08 +0> +0K +#13470000000 +1! +1" +1# +1$ +18 +1> +1K +#13470100000 +#13471100000 +#13480000000 +0! +0" +0# +0$ +08 +0> +0K +#13490000000 +1! +1" +1# +1$ +18 +1> +1K +#13490100000 +#13491100000 +#13500000000 +0! +0" +0# +0$ +08 +0> +0K +#13510000000 +1! +1" +1# +1$ +18 +1> +1K +#13510100000 +#13511100000 +#13520000000 +0! +0" +0# +0$ +08 +0> +0K +#13530000000 +1! +1" +1# +1$ +18 +1> +1K +#13530100000 +#13531100000 +#13540000000 +0! +0" +0# +0$ +08 +0> +0K +#13550000000 +1! +1" +1# +1$ +18 +1> +1K +#13550100000 +#13551100000 +#13560000000 +0! +0" +0# +0$ +08 +0> +0K +#13570000000 +1! +1" +1# +1$ +18 +1> +1K +#13570100000 +#13571100000 +#13580000000 +0! +0" +0# +0$ +08 +0> +0K +#13590000000 +1! +1" +1# +1$ +18 +1> +1K +#13590100000 +#13591100000 +#13600000000 +0! +0" +0# +0$ +08 +0> +0K +#13610000000 +1! +1" +1# +1$ +18 +1> +1K +#13610100000 +#13611100000 +#13620000000 +0! +0" +0# +0$ +08 +0> +0K +#13630000000 +1! +1" +1# +1$ +18 +1> +1K +#13630100000 +#13631100000 +#13640000000 +0! +0" +0# +0$ +08 +0> +0K +#13650000000 +1! +1" +1# +1$ +18 +1> +1K +#13650100000 +#13651100000 +#13660000000 +0! +0" +0# +0$ +08 +0> +0K +#13670000000 +1! +1" +1# +1$ +18 +1> +1K +#13670100000 +#13671100000 +#13680000000 +0! +0" +0# +0$ +08 +0> +0K +#13690000000 +1! +1" +1# +1$ +18 +1> +1K +#13690100000 +#13691100000 +#13700000000 +0! +0" +0# +0$ +08 +0> +0K +#13710000000 +1! +1" +1# +1$ +18 +1> +1K +#13710100000 +#13711100000 +#13720000000 +0! +0" +0# +0$ +08 +0> +0K +#13730000000 +1! +1" +1# +1$ +18 +1> +1K +#13730100000 +#13731100000 +#13740000000 +0! +0" +0# +0$ +08 +0> +0K +#13750000000 +1! +1" +1# +1$ +18 +1> +1K +#13750100000 +#13751100000 +#13760000000 +0! +0" +0# +0$ +08 +0> +0K +#13770000000 +1! +1" +1# +1$ +18 +1> +1K +#13770100000 +#13771100000 +#13780000000 +0! +0" +0# +0$ +08 +0> +0K +#13790000000 +1! +1" +1# +1$ +18 +1> +1K +#13790100000 +#13791100000 +#13800000000 +0! +0" +0# +0$ +08 +0> +0K +#13810000000 +1! +1" +1# +1$ +18 +1> +1K +#13810100000 +#13811100000 +#13820000000 +0! +0" +0# +0$ +08 +0> +0K +#13830000000 +1! +1" +1# +1$ +18 +1> +1K +#13830100000 +#13831100000 +#13840000000 +0! +0" +0# +0$ +08 +0> +0K +#13850000000 +1! +1" +1# +1$ +18 +1> +1K +#13850100000 +#13851100000 +#13860000000 +0! +0" +0# +0$ +08 +0> +0K +#13870000000 +1! +1" +1# +1$ +18 +1> +1K +#13870100000 +#13871100000 +#13880000000 +0! +0" +0# +0$ +08 +0> +0K +#13890000000 +1! +1" +1# +1$ +18 +1> +1K +#13890100000 +#13891100000 +#13900000000 +0! +0" +0# +0$ +08 +0> +0K +#13910000000 +1! +1" +1# +1$ +18 +1> +1K +#13910100000 +#13911100000 +#13920000000 +0! +0" +0# +0$ +08 +0> +0K +#13930000000 +1! +1" +1# +1$ +18 +1> +1K +#13930100000 +#13931100000 +#13940000000 +0! +0" +0# +0$ +08 +0> +0K +#13950000000 +1! +1" +1# +1$ +18 +1> +1K +#13950100000 +#13951100000 +#13960000000 +0! +0" +0# +0$ +08 +0> +0K +#13970000000 +1! +1" +1# +1$ +18 +1> +1K +#13970100000 +#13971100000 +#13980000000 +0! +0" +0# +0$ +08 +0> +0K +#13990000000 +1! +1" +1# +1$ +18 +1> +1K +#13990100000 +#13991100000 +#14000000000 +0! +0" +0# +0$ +08 +0> +0K +#14010000000 +1! +1" +1# +1$ +18 +1> +1K +#14010100000 +#14011100000 +#14020000000 +0! +0" +0# +0$ +08 +0> +0K +#14030000000 +1! +1" +1# +1$ +18 +1> +1K +#14030100000 +#14031100000 +#14040000000 +0! +0" +0# +0$ +08 +0> +0K +#14050000000 +1! +1" +1# +1$ +18 +1> +1K +#14050100000 +#14051100000 +#14060000000 +0! +0" +0# +0$ +08 +0> +0K +#14070000000 +1! +1" +1# +1$ +18 +1> +1K +#14070100000 +#14071100000 +#14080000000 +0! +0" +0# +0$ +08 +0> +0K +#14090000000 +1! +1" +1# +1$ +18 +1> +1K +#14090100000 +#14091100000 +#14100000000 +0! +0" +0# +0$ +08 +0> +0K +#14110000000 +1! +1" +1# +1$ +18 +1> +1K +#14110100000 +#14111100000 +#14120000000 +0! +0" +0# +0$ +08 +0> +0K +#14130000000 +1! +1" +1# +1$ +18 +1> +1K +#14130100000 +#14131100000 +#14140000000 +0! +0" +0# +0$ +08 +0> +0K +#14150000000 +1! +1" +1# +1$ +18 +1> +1K +#14150100000 +#14151100000 +#14160000000 +0! +0" +0# +0$ +08 +0> +0K +#14170000000 +1! +1" +1# +1$ +18 +1> +1K +#14170100000 +#14171100000 +#14180000000 +0! +0" +0# +0$ +08 +0> +0K +#14190000000 +1! +1" +1# +1$ +18 +1> +1K +#14190100000 +#14191100000 +#14200000000 +0! +0" +0# +0$ +08 +0> +0K +#14210000000 +1! +1" +1# +1$ +18 +1> +1K +#14210100000 +#14211100000 +#14220000000 +0! +0" +0# +0$ +08 +0> +0K +#14230000000 +1! +1" +1# +1$ +18 +1> +1K +#14230100000 +#14231100000 +#14240000000 +0! +0" +0# +0$ +08 +0> +0K +#14250000000 +1! +1" +1# +1$ +18 +1> +1K +#14250100000 +#14251100000 +#14260000000 +0! +0" +0# +0$ +08 +0> +0K +#14270000000 +1! +1" +1# +1$ +18 +1> +1K +#14270100000 +#14271100000 +#14280000000 +0! +0" +0# +0$ +08 +0> +0K +#14290000000 +1! +1" +1# +1$ +18 +1> +1K +#14290100000 +#14291100000 +#14300000000 +0! +0" +0# +0$ +08 +0> +0K +#14310000000 +1! +1" +1# +1$ +18 +1> +1K +#14310100000 +#14311100000 +#14320000000 +0! +0" +0# +0$ +08 +0> +0K +#14330000000 +1! +1" +1# +1$ +18 +1> +1K +#14330100000 +#14331100000 +#14340000000 +0! +0" +0# +0$ +08 +0> +0K +#14350000000 +1! +1" +1# +1$ +18 +1> +1K +#14350100000 +#14351100000 +#14360000000 +0! +0" +0# +0$ +08 +0> +0K +#14370000000 +1! +1" +1# +1$ +18 +1> +1K +#14370100000 +#14371100000 +#14380000000 +0! +0" +0# +0$ +08 +0> +0K +#14390000000 +1! +1" +1# +1$ +18 +1> +1K +#14390100000 +#14391100000 +#14400000000 +0! +0" +0# +0$ +08 +0> +0K +#14410000000 +1! +1" +1# +1$ +18 +1> +1K +#14410100000 +#14411100000 +#14420000000 +0! +0" +0# +0$ +08 +0> +0K +#14430000000 +1! +1" +1# +1$ +18 +1> +1K +#14430100000 +#14431100000 +#14440000000 +0! +0" +0# +0$ +08 +0> +0K +#14450000000 +1! +1" +1# +1$ +18 +1> +1K +#14450100000 +#14451100000 +#14460000000 +0! +0" +0# +0$ +08 +0> +0K +#14470000000 +1! +1" +1# +1$ +18 +1> +1K +#14470100000 +#14471100000 +#14480000000 +0! +0" +0# +0$ +08 +0> +0K +#14490000000 +1! +1" +1# +1$ +18 +1> +1K +#14490100000 +#14491100000 +#14500000000 +0! +0" +0# +0$ +08 +0> +0K +#14510000000 +1! +1" +1# +1$ +18 +1> +1K +#14510100000 +#14511100000 +#14520000000 +0! +0" +0# +0$ +08 +0> +0K +#14530000000 +1! +1" +1# +1$ +18 +1> +1K +#14530100000 +#14531100000 +#14540000000 +0! +0" +0# +0$ +08 +0> +0K +#14550000000 +1! +1" +1# +1$ +18 +1> +1K +#14550100000 +#14551100000 +#14560000000 +0! +0" +0# +0$ +08 +0> +0K +#14570000000 +1! +1" +1# +1$ +18 +1> +1K +#14570100000 +#14571100000 +#14580000000 +0! +0" +0# +0$ +08 +0> +0K +#14590000000 +1! +1" +1# +1$ +18 +1> +1K +#14590100000 +#14591100000 +#14600000000 +0! +0" +0# +0$ +08 +0> +0K +#14610000000 +1! +1" +1# +1$ +18 +1> +1K +#14610100000 +#14611100000 +#14620000000 +0! +0" +0# +0$ +08 +0> +0K +#14630000000 +1! +1" +1# +1$ +18 +1> +1K +#14630100000 +#14631100000 +#14640000000 +0! +0" +0# +0$ +08 +0> +0K +#14650000000 +1! +1" +1# +1$ +18 +1> +1K +#14650100000 +#14651100000 +#14660000000 +0! +0" +0# +0$ +08 +0> +0K +#14670000000 +1! +1" +1# +1$ +18 +1> +1K +#14670100000 +#14671100000 +#14680000000 +0! +0" +0# +0$ +08 +0> +0K +#14690000000 +1! +1" +1# +1$ +18 +1> +1K +#14690100000 +#14691100000 +#14700000000 +0! +0" +0# +0$ +08 +0> +0K +#14710000000 +1! +1" +1# +1$ +18 +1> +1K +#14710100000 +#14711100000 +#14720000000 +0! +0" +0# +0$ +08 +0> +0K +#14730000000 +1! +1" +1# +1$ +18 +1> +1K +#14730100000 +#14731100000 +#14740000000 +0! +0" +0# +0$ +08 +0> +0K +#14750000000 +1! +1" +1# +1$ +18 +1> +1K +#14750100000 +#14751100000 +#14760000000 +0! +0" +0# +0$ +08 +0> +0K +#14770000000 +1! +1" +1# +1$ +18 +1> +1K +#14770100000 +#14771100000 +#14780000000 +0! +0" +0# +0$ +08 +0> +0K +#14790000000 +1! +1" +1# +1$ +18 +1> +1K +#14790100000 +#14791100000 +#14800000000 +0! +0" +0# +0$ +08 +0> +0K +#14810000000 +1! +1" +1# +1$ +18 +1> +1K +#14810100000 +#14811100000 +#14820000000 +0! +0" +0# +0$ +08 +0> +0K +#14830000000 +1! +1" +1# +1$ +18 +1> +1K +#14830100000 +#14831100000 +#14840000000 +0! +0" +0# +0$ +08 +0> +0K +#14850000000 +1! +1" +1# +1$ +18 +1> +1K +#14850100000 +#14851100000 +#14860000000 +0! +0" +0# +0$ +08 +0> +0K +#14870000000 +1! +1" +1# +1$ +18 +1> +1K +#14870100000 +#14871100000 +#14880000000 +0! +0" +0# +0$ +08 +0> +0K +#14890000000 +1! +1" +1# +1$ +18 +1> +1K +#14890100000 +#14891100000 +#14900000000 +0! +0" +0# +0$ +08 +0> +0K +#14910000000 +1! +1" +1# +1$ +18 +1> +1K +#14910100000 +#14911100000 +#14920000000 +0! +0" +0# +0$ +08 +0> +0K +#14930000000 +1! +1" +1# +1$ +18 +1> +1K +#14930100000 +#14931100000 +#14940000000 +0! +0" +0# +0$ +08 +0> +0K +#14950000000 +1! +1" +1# +1$ +18 +1> +1K +#14950100000 +#14951100000 +#14960000000 +0! +0" +0# +0$ +08 +0> +0K +#14970000000 +1! +1" +1# +1$ +18 +1> +1K +#14970100000 +#14971100000 +#14980000000 +0! +0" +0# +0$ +08 +0> +0K +#14990000000 +1! +1" +1# +1$ +18 +1> +1K +#14990100000 +#14991100000 +#15000000000 +0! +0" +0# +0$ +08 +0> +0K +#15010000000 +1! +1" +1# +1$ +18 +1> +1K +#15010100000 +#15011100000 +#15020000000 +0! +0" +0# +0$ +08 +0> +0K +#15030000000 +1! +1" +1# +1$ +18 +1> +1K +#15030100000 +#15031100000 +#15040000000 +0! +0" +0# +0$ +08 +0> +0K +#15050000000 +1! +1" +1# +1$ +18 +1> +1K +#15050100000 +#15051100000 +#15060000000 +0! +0" +0# +0$ +08 +0> +0K +#15070000000 +1! +1" +1# +1$ +18 +1> +1K +#15070100000 +#15071100000 +#15080000000 +0! +0" +0# +0$ +08 +0> +0K +#15090000000 +1! +1" +1# +1$ +18 +1> +1K +#15090100000 +#15091100000 +#15100000000 +0! +0" +0# +0$ +08 +0> +0K +#15110000000 +1! +1" +1# +1$ +18 +1> +1K +#15110100000 +#15111100000 +#15120000000 +0! +0" +0# +0$ +08 +0> +0K +#15130000000 +1! +1" +1# +1$ +18 +1> +1K +#15130100000 +#15131100000 +#15140000000 +0! +0" +0# +0$ +08 +0> +0K +#15150000000 +1! +1" +1# +1$ +18 +1> +1K +#15150100000 +#15151100000 +#15160000000 +0! +0" +0# +0$ +08 +0> +0K +#15170000000 +1! +1" +1# +1$ +18 +1> +1K +#15170100000 +#15171100000 +#15180000000 +0! +0" +0# +0$ +08 +0> +0K +#15190000000 +1! +1" +1# +1$ +18 +1> +1K +#15190100000 +#15191100000 +#15200000000 +0! +0" +0# +0$ +08 +0> +0K +#15210000000 +1! +1" +1# +1$ +18 +1> +1K +#15210100000 +#15211100000 +#15220000000 +0! +0" +0# +0$ +08 +0> +0K +#15230000000 +1! +1" +1# +1$ +18 +1> +1K +#15230100000 +#15231100000 +#15240000000 +0! +0" +0# +0$ +08 +0> +0K +#15250000000 +1! +1" +1# +1$ +18 +1> +1K +#15250100000 +#15251100000 +#15260000000 +0! +0" +0# +0$ +08 +0> +0K +#15270000000 +1! +1" +1# +1$ +18 +1> +1K +#15270100000 +#15271100000 +#15280000000 +0! +0" +0# +0$ +08 +0> +0K +#15290000000 +1! +1" +1# +1$ +18 +1> +1K +#15290100000 +#15291100000 +#15300000000 +0! +0" +0# +0$ +08 +0> +0K +#15310000000 +1! +1" +1# +1$ +18 +1> +1K +#15310100000 +#15311100000 +#15320000000 +0! +0" +0# +0$ +08 +0> +0K +#15330000000 +1! +1" +1# +1$ +18 +1> +1K +#15330100000 +#15331100000 +#15340000000 +0! +0" +0# +0$ +08 +0> +0K +#15350000000 +1! +1" +1# +1$ +18 +1> +1K +#15350100000 +#15351100000 +#15360000000 +0! +0" +0# +0$ +08 +0> +0K +#15370000000 +1! +1" +1# +1$ +18 +1> +1K +#15370100000 +#15371100000 +#15380000000 +0! +0" +0# +0$ +08 +0> +0K +#15390000000 +1! +1" +1# +1$ +18 +1> +1K +#15390100000 +#15391100000 +#15400000000 +0! +0" +0# +0$ +08 +0> +0K +#15410000000 +1! +1" +1# +1$ +18 +1> +1K +#15410100000 +#15411100000 +#15420000000 +0! +0" +0# +0$ +08 +0> +0K +#15430000000 +1! +1" +1# +1$ +18 +1> +1K +#15430100000 +#15431100000 +#15440000000 +0! +0" +0# +0$ +08 +0> +0K +#15450000000 +1! +1" +1# +1$ +18 +1> +1K +#15450100000 +#15451100000 +#15460000000 +0! +0" +0# +0$ +08 +0> +0K +#15470000000 +1! +1" +1# +1$ +18 +1> +1K +#15470100000 +#15471100000 +#15480000000 +0! +0" +0# +0$ +08 +0> +0K +#15490000000 +1! +1" +1# +1$ +18 +1> +1K +#15490100000 +#15491100000 +#15500000000 +0! +0" +0# +0$ +08 +0> +0K +#15510000000 +1! +1" +1# +1$ +18 +1> +1K +#15510100000 +#15511100000 +#15520000000 +0! +0" +0# +0$ +08 +0> +0K +#15530000000 +1! +1" +1# +1$ +18 +1> +1K +#15530100000 +#15531100000 +#15540000000 +0! +0" +0# +0$ +08 +0> +0K +#15550000000 +1! +1" +1# +1$ +18 +1> +1K +#15550100000 +#15551100000 +#15560000000 +0! +0" +0# +0$ +08 +0> +0K +#15570000000 +1! +1" +1# +1$ +18 +1> +1K +#15570100000 +#15571100000 +#15580000000 +0! +0" +0# +0$ +08 +0> +0K +#15590000000 +1! +1" +1# +1$ +18 +1> +1K +#15590100000 +#15591100000 +#15600000000 +0! +0" +0# +0$ +08 +0> +0K +#15610000000 +1! +1" +1# +1$ +18 +1> +1K +#15610100000 +#15611100000 +#15620000000 +0! +0" +0# +0$ +08 +0> +0K +#15630000000 +1! +1" +1# +1$ +18 +1> +1K +#15630100000 +#15631100000 +#15640000000 +0! +0" +0# +0$ +08 +0> +0K +#15650000000 +1! +1" +1# +1$ +18 +1> +1K +#15650100000 +#15651100000 +#15660000000 +0! +0" +0# +0$ +08 +0> +0K +#15670000000 +1! +1" +1# +1$ +18 +1> +1K +#15670100000 +#15671100000 +#15680000000 +0! +0" +0# +0$ +08 +0> +0K +#15690000000 +1! +1" +1# +1$ +18 +1> +1K +#15690100000 +#15691100000 +#15700000000 +0! +0" +0# +0$ +08 +0> +0K +#15710000000 +1! +1" +1# +1$ +18 +1> +1K +#15710100000 +#15711100000 +#15720000000 +0! +0" +0# +0$ +08 +0> +0K +#15730000000 +1! +1" +1# +1$ +18 +1> +1K +#15730100000 +#15731100000 +#15740000000 +0! +0" +0# +0$ +08 +0> +0K +#15750000000 +1! +1" +1# +1$ +18 +1> +1K +#15750100000 +#15751100000 +#15760000000 +0! +0" +0# +0$ +08 +0> +0K +#15770000000 +1! +1" +1# +1$ +18 +1> +1K +#15770100000 +#15771100000 +#15780000000 +0! +0" +0# +0$ +08 +0> +0K +#15790000000 +1! +1" +1# +1$ +18 +1> +1K +#15790100000 +#15791100000 +#15800000000 +0! +0" +0# +0$ +08 +0> +0K +#15810000000 +1! +1" +1# +1$ +18 +1> +1K +#15810100000 +#15811100000 +#15820000000 +0! +0" +0# +0$ +08 +0> +0K +#15830000000 +1! +1" +1# +1$ +18 +1> +1K +#15830100000 +#15831100000 +#15840000000 +0! +0" +0# +0$ +08 +0> +0K +#15850000000 +1! +1" +1# +1$ +18 +1> +1K +#15850100000 +#15851100000 +#15860000000 +0! +0" +0# +0$ +08 +0> +0K +#15870000000 +1! +1" +1# +1$ +18 +1> +1K +#15870100000 +#15871100000 +#15880000000 +0! +0" +0# +0$ +08 +0> +0K +#15890000000 +1! +1" +1# +1$ +18 +1> +1K +#15890100000 +#15891100000 +#15900000000 +0! +0" +0# +0$ +08 +0> +0K +#15910000000 +1! +1" +1# +1$ +18 +1> +1K +#15910100000 +#15911100000 +#15920000000 +0! +0" +0# +0$ +08 +0> +0K +#15930000000 +1! +1" +1# +1$ +18 +1> +1K +#15930100000 +#15931100000 +#15940000000 +0! +0" +0# +0$ +08 +0> +0K +#15950000000 +1! +1" +1# +1$ +18 +1> +1K +#15950100000 +#15951100000 +#15960000000 +0! +0" +0# +0$ +08 +0> +0K +#15970000000 +1! +1" +1# +1$ +18 +1> +1K +#15970100000 +#15971100000 +#15980000000 +0! +0" +0# +0$ +08 +0> +0K +#15990000000 +1! +1" +1# +1$ +18 +1> +1K +#15990100000 +#15991100000 +#16000000000 +0! +0" +0# +0$ +08 +0> +0K +#16010000000 +1! +1" +1# +1$ +18 +1> +1K +#16010100000 +#16011100000 +#16020000000 +0! +0" +0# +0$ +08 +0> +0K +#16030000000 +1! +1" +1# +1$ +18 +1> +1K +#16030100000 +#16031100000 +#16040000000 +0! +0" +0# +0$ +08 +0> +0K +#16050000000 +1! +1" +1# +1$ +18 +1> +1K +#16050100000 +#16051100000 +#16060000000 +0! +0" +0# +0$ +08 +0> +0K +#16070000000 +1! +1" +1# +1$ +18 +1> +1K +#16070100000 +#16071100000 +#16080000000 +0! +0" +0# +0$ +08 +0> +0K +#16090000000 +1! +1" +1# +1$ +18 +1> +1K +#16090100000 +#16091100000 +#16100000000 +0! +0" +0# +0$ +08 +0> +0K +#16110000000 +1! +1" +1# +1$ +18 +1> +1K +#16110100000 +#16111100000 +#16120000000 +0! +0" +0# +0$ +08 +0> +0K +#16130000000 +1! +1" +1# +1$ +18 +1> +1K +#16130100000 +#16131100000 +#16140000000 +0! +0" +0# +0$ +08 +0> +0K +#16150000000 +1! +1" +1# +1$ +18 +1> +1K +#16150100000 +#16151100000 +#16160000000 +0! +0" +0# +0$ +08 +0> +0K +#16170000000 +1! +1" +1# +1$ +18 +1> +1K +#16170100000 +#16171100000 +#16180000000 +0! +0" +0# +0$ +08 +0> +0K +#16190000000 +1! +1" +1# +1$ +18 +1> +1K +#16190100000 +#16191100000 +#16200000000 +0! +0" +0# +0$ +08 +0> +0K +#16210000000 +1! +1" +1# +1$ +18 +1> +1K +#16210100000 +#16211100000 +#16220000000 +0! +0" +0# +0$ +08 +0> +0K +#16230000000 +1! +1" +1# +1$ +18 +1> +1K +#16230100000 +#16231100000 +#16240000000 +0! +0" +0# +0$ +08 +0> +0K +#16250000000 +1! +1" +1# +1$ +18 +1> +1K +#16250100000 +#16251100000 +#16260000000 +0! +0" +0# +0$ +08 +0> +0K +#16270000000 +1! +1" +1# +1$ +18 +1> +1K +#16270100000 +#16271100000 +#16280000000 +0! +0" +0# +0$ +08 +0> +0K +#16290000000 +1! +1" +1# +1$ +18 +1> +1K +#16290100000 +#16291100000 +#16300000000 +0! +0" +0# +0$ +08 +0> +0K +#16310000000 +1! +1" +1# +1$ +18 +1> +1K +#16310100000 +#16311100000 +#16320000000 +0! +0" +0# +0$ +08 +0> +0K +#16330000000 +1! +1" +1# +1$ +18 +1> +1K +#16330100000 +#16331100000 +#16340000000 +0! +0" +0# +0$ +08 +0> +0K +#16350000000 +1! +1" +1# +1$ +18 +1> +1K +#16350100000 +#16351100000 +#16360000000 +0! +0" +0# +0$ +08 +0> +0K +#16370000000 +1! +1" +1# +1$ +18 +1> +1K +#16370100000 +#16371100000 +#16380000000 +0! +0" +0# +0$ +08 +0> +0K +#16390000000 +1! +1" +1# +1$ +18 +1> +1K +#16390100000 +#16391100000 +#16400000000 +0! +0" +0# +0$ +08 +0> +0K +#16410000000 +1! +1" +1# +1$ +18 +1> +1K +#16410100000 +#16411100000 +#16420000000 +0! +0" +0# +0$ +08 +0> +0K +#16430000000 +1! +1" +1# +1$ +18 +1> +1K +#16430100000 +#16431100000 +#16440000000 +0! +0" +0# +0$ +08 +0> +0K +#16450000000 +1! +1" +1# +1$ +18 +1> +1K +#16450100000 +#16451100000 +#16460000000 +0! +0" +0# +0$ +08 +0> +0K +#16470000000 +1! +1" +1# +1$ +18 +1> +1K +#16470100000 +#16471100000 +#16480000000 +0! +0" +0# +0$ +08 +0> +0K +#16490000000 +1! +1" +1# +1$ +18 +1> +1K +#16490100000 +#16491100000 +#16500000000 +0! +0" +0# +0$ +08 +0> +0K +#16510000000 +1! +1" +1# +1$ +18 +1> +1K +#16510100000 +#16511100000 +#16520000000 +0! +0" +0# +0$ +08 +0> +0K +#16530000000 +1! +1" +1# +1$ +18 +1> +1K +#16530100000 +#16531100000 +#16540000000 +0! +0" +0# +0$ +08 +0> +0K +#16550000000 +1! +1" +1# +1$ +18 +1> +1K +#16550100000 +#16551100000 +#16560000000 +0! +0" +0# +0$ +08 +0> +0K +#16570000000 +1! +1" +1# +1$ +18 +1> +1K +#16570100000 +#16571100000 +#16580000000 +0! +0" +0# +0$ +08 +0> +0K +#16590000000 +1! +1" +1# +1$ +18 +1> +1K +#16590100000 +#16591100000 +#16600000000 +0! +0" +0# +0$ +08 +0> +0K +#16610000000 +1! +1" +1# +1$ +18 +1> +1K +#16610100000 +#16611100000 +#16620000000 +0! +0" +0# +0$ +08 +0> +0K +#16630000000 +1! +1" +1# +1$ +18 +1> +1K +#16630100000 +#16631100000 +#16640000000 +0! +0" +0# +0$ +08 +0> +0K +#16650000000 +1! +1" +1# +1$ +18 +1> +1K +#16650100000 +#16651100000 +#16660000000 +0! +0" +0# +0$ +08 +0> +0K +#16670000000 +1! +1" +1# +1$ +18 +1> +1K +#16670100000 +#16671100000 +#16680000000 +0! +0" +0# +0$ +08 +0> +0K +#16690000000 +1! +1" +1# +1$ +18 +1> +1K +#16690100000 +#16691100000 +#16700000000 +0! +0" +0# +0$ +08 +0> +0K +#16710000000 +1! +1" +1# +1$ +18 +1> +1K +#16710100000 +#16711100000 +#16720000000 +0! +0" +0# +0$ +08 +0> +0K +#16730000000 +1! +1" +1# +1$ +18 +1> +1K +#16730100000 +#16731100000 +#16740000000 +0! +0" +0# +0$ +08 +0> +0K +#16750000000 +1! +1" +1# +1$ +18 +1> +1K +#16750100000 +#16751100000 +#16760000000 +0! +0" +0# +0$ +08 +0> +0K +#16770000000 +1! +1" +1# +1$ +18 +1> +1K +#16770100000 +#16771100000 +#16780000000 +0! +0" +0# +0$ +08 +0> +0K +#16790000000 +1! +1" +1# +1$ +18 +1> +1K +#16790100000 +#16791100000 +#16800000000 +0! +0" +0# +0$ +08 +0> +0K +#16810000000 +1! +1" +1# +1$ +18 +1> +1K +#16810100000 +#16811100000 +#16820000000 +0! +0" +0# +0$ +08 +0> +0K +#16830000000 +1! +1" +1# +1$ +18 +1> +1K +#16830100000 +#16831100000 +#16840000000 +0! +0" +0# +0$ +08 +0> +0K +#16850000000 +1! +1" +1# +1$ +18 +1> +1K +#16850100000 +#16851100000 +#16860000000 +0! +0" +0# +0$ +08 +0> +0K +#16870000000 +1! +1" +1# +1$ +18 +1> +1K +#16870100000 +#16871100000 +#16880000000 +0! +0" +0# +0$ +08 +0> +0K +#16890000000 +1! +1" +1# +1$ +18 +1> +1K +#16890100000 +#16891100000 +#16900000000 +0! +0" +0# +0$ +08 +0> +0K +#16910000000 +1! +1" +1# +1$ +18 +1> +1K +#16910100000 +#16911100000 +#16920000000 +0! +0" +0# +0$ +08 +0> +0K +#16930000000 +1! +1" +1# +1$ +18 +1> +1K +#16930100000 +#16931100000 +#16940000000 +0! +0" +0# +0$ +08 +0> +0K +#16950000000 +1! +1" +1# +1$ +18 +1> +1K +#16950100000 +#16951100000 +#16960000000 +0! +0" +0# +0$ +08 +0> +0K +#16970000000 +1! +1" +1# +1$ +18 +1> +1K +#16970100000 +#16971100000 +#16980000000 +0! +0" +0# +0$ +08 +0> +0K +#16990000000 +1! +1" +1# +1$ +18 +1> +1K +#16990100000 +#16991100000 +#17000000000 +0! +0" +0# +0$ +08 +0> +0K +#17010000000 +1! +1" +1# +1$ +18 +1> +1K +#17010100000 +#17011100000 +#17020000000 +0! +0" +0# +0$ +08 +0> +0K +#17030000000 +1! +1" +1# +1$ +18 +1> +1K +#17030100000 +#17031100000 +#17040000000 +0! +0" +0# +0$ +08 +0> +0K +#17050000000 +1! +1" +1# +1$ +18 +1> +1K +#17050100000 +#17051100000 +#17060000000 +0! +0" +0# +0$ +08 +0> +0K +#17070000000 +1! +1" +1# +1$ +18 +1> +1K +#17070100000 +#17071100000 +#17080000000 +0! +0" +0# +0$ +08 +0> +0K +#17090000000 +1! +1" +1# +1$ +18 +1> +1K +#17090100000 +#17091100000 +#17100000000 +0! +0" +0# +0$ +08 +0> +0K +#17110000000 +1! +1" +1# +1$ +18 +1> +1K +#17110100000 +#17111100000 +#17120000000 +0! +0" +0# +0$ +08 +0> +0K +#17130000000 +1! +1" +1# +1$ +18 +1> +1K +#17130100000 +#17131100000 +#17140000000 +0! +0" +0# +0$ +08 +0> +0K +#17150000000 +1! +1" +1# +1$ +18 +1> +1K +#17150100000 +#17151100000 +#17160000000 +0! +0" +0# +0$ +08 +0> +0K +#17170000000 +1! +1" +1# +1$ +18 +1> +1K +#17170100000 +#17171100000 +#17180000000 +0! +0" +0# +0$ +08 +0> +0K +#17190000000 +1! +1" +1# +1$ +18 +1> +1K +#17190100000 +#17191100000 +#17200000000 +0! +0" +0# +0$ +08 +0> +0K +#17210000000 +1! +1" +1# +1$ +18 +1> +1K +#17210100000 +#17211100000 +#17220000000 +0! +0" +0# +0$ +08 +0> +0K +#17230000000 +1! +1" +1# +1$ +18 +1> +1K +#17230100000 +#17231100000 +#17240000000 +0! +0" +0# +0$ +08 +0> +0K +#17250000000 +1! +1" +1# +1$ +18 +1> +1K +#17250100000 +#17251100000 +#17260000000 +0! +0" +0# +0$ +08 +0> +0K +#17270000000 +1! +1" +1# +1$ +18 +1> +1K +#17270100000 +#17271100000 +#17280000000 +0! +0" +0# +0$ +08 +0> +0K +#17290000000 +1! +1" +1# +1$ +18 +1> +1K +#17290100000 +#17291100000 +#17300000000 +0! +0" +0# +0$ +08 +0> +0K +#17310000000 +1! +1" +1# +1$ +18 +1> +1K +#17310100000 +#17311100000 +#17320000000 +0! +0" +0# +0$ +08 +0> +0K +#17330000000 +1! +1" +1# +1$ +18 +1> +1K +#17330100000 +#17331100000 +#17340000000 +0! +0" +0# +0$ +08 +0> +0K +#17350000000 +1! +1" +1# +1$ +18 +1> +1K +#17350100000 +#17351100000 +#17360000000 +0! +0" +0# +0$ +08 +0> +0K +#17370000000 +1! +1" +1# +1$ +18 +1> +1K +#17370100000 +#17371100000 +#17380000000 +0! +0" +0# +0$ +08 +0> +0K +#17390000000 +1! +1" +1# +1$ +18 +1> +1K +#17390100000 +#17391100000 +#17400000000 +0! +0" +0# +0$ +08 +0> +0K +#17410000000 +1! +1" +1# +1$ +18 +1> +1K +#17410100000 +#17411100000 +#17420000000 +0! +0" +0# +0$ +08 +0> +0K +#17430000000 +1! +1" +1# +1$ +18 +1> +1K +#17430100000 +#17431100000 +#17440000000 +0! +0" +0# +0$ +08 +0> +0K +#17450000000 +1! +1" +1# +1$ +18 +1> +1K +#17450100000 +#17451100000 +#17460000000 +0! +0" +0# +0$ +08 +0> +0K +#17470000000 +1! +1" +1# +1$ +18 +1> +1K +#17470100000 +#17471100000 +#17480000000 +0! +0" +0# +0$ +08 +0> +0K +#17490000000 +1! +1" +1# +1$ +18 +1> +1K +#17490100000 +#17491100000 +#17500000000 +0! +0" +0# +0$ +08 +0> +0K +#17510000000 +1! +1" +1# +1$ +18 +1> +1K +#17510100000 +#17511100000 +#17520000000 +0! +0" +0# +0$ +08 +0> +0K +#17530000000 +1! +1" +1# +1$ +18 +1> +1K +#17530100000 +#17531100000 +#17540000000 +0! +0" +0# +0$ +08 +0> +0K +#17550000000 +1! +1" +1# +1$ +18 +1> +1K +#17550100000 +#17551100000 +#17560000000 +0! +0" +0# +0$ +08 +0> +0K +#17570000000 +1! +1" +1# +1$ +18 +1> +1K +#17570100000 +#17571100000 +#17580000000 +0! +0" +0# +0$ +08 +0> +0K +#17590000000 +1! +1" +1# +1$ +18 +1> +1K +#17590100000 +#17591100000 +#17600000000 +0! +0" +0# +0$ +08 +0> +0K +#17610000000 +1! +1" +1# +1$ +18 +1> +1K +#17610100000 +#17611100000 +#17620000000 +0! +0" +0# +0$ +08 +0> +0K +#17630000000 +1! +1" +1# +1$ +18 +1> +1K +#17630100000 +#17631100000 +#17640000000 +0! +0" +0# +0$ +08 +0> +0K +#17650000000 +1! +1" +1# +1$ +18 +1> +1K +#17650100000 +#17651100000 +#17660000000 +0! +0" +0# +0$ +08 +0> +0K +#17670000000 +1! +1" +1# +1$ +18 +1> +1K +#17670100000 +#17671100000 +#17680000000 +0! +0" +0# +0$ +08 +0> +0K +#17690000000 +1! +1" +1# +1$ +18 +1> +1K +#17690100000 +#17691100000 +#17700000000 +0! +0" +0# +0$ +08 +0> +0K +#17710000000 +1! +1" +1# +1$ +18 +1> +1K +#17710100000 +#17711100000 +#17720000000 +0! +0" +0# +0$ +08 +0> +0K +#17730000000 +1! +1" +1# +1$ +18 +1> +1K +#17730100000 +#17731100000 +#17740000000 +0! +0" +0# +0$ +08 +0> +0K +#17750000000 +1! +1" +1# +1$ +18 +1> +1K +#17750100000 +#17751100000 +#17760000000 +0! +0" +0# +0$ +08 +0> +0K +#17770000000 +1! +1" +1# +1$ +18 +1> +1K +#17770100000 +#17771100000 +#17780000000 +0! +0" +0# +0$ +08 +0> +0K +#17790000000 +1! +1" +1# +1$ +18 +1> +1K +#17790100000 +#17791100000 +#17800000000 +0! +0" +0# +0$ +08 +0> +0K +#17810000000 +1! +1" +1# +1$ +18 +1> +1K +#17810100000 +#17811100000 +#17820000000 +0! +0" +0# +0$ +08 +0> +0K +#17830000000 +1! +1" +1# +1$ +18 +1> +1K +#17830100000 +#17831100000 +#17840000000 +0! +0" +0# +0$ +08 +0> +0K +#17850000000 +1! +1" +1# +1$ +18 +1> +1K +#17850100000 +#17851100000 +#17860000000 +0! +0" +0# +0$ +08 +0> +0K +#17870000000 +1! +1" +1# +1$ +18 +1> +1K +#17870100000 +#17871100000 +#17880000000 +0! +0" +0# +0$ +08 +0> +0K +#17890000000 +1! +1" +1# +1$ +18 +1> +1K +#17890100000 +#17891100000 +#17900000000 +0! +0" +0# +0$ +08 +0> +0K +#17910000000 +1! +1" +1# +1$ +18 +1> +1K +#17910100000 +#17911100000 +#17920000000 +0! +0" +0# +0$ +08 +0> +0K +#17930000000 +1! +1" +1# +1$ +18 +1> +1K +#17930100000 +#17931100000 +#17940000000 +0! +0" +0# +0$ +08 +0> +0K +#17950000000 +1! +1" +1# +1$ +18 +1> +1K +#17950100000 +#17951100000 +#17960000000 +0! +0" +0# +0$ +08 +0> +0K +#17970000000 +1! +1" +1# +1$ +18 +1> +1K +#17970100000 +#17971100000 +#17980000000 +0! +0" +0# +0$ +08 +0> +0K +#17990000000 +1! +1" +1# +1$ +18 +1> +1K +#17990100000 +#17991100000 +#18000000000 +0! +0" +0# +0$ +08 +0> +0K +#18010000000 +1! +1" +1# +1$ +18 +1> +1K +#18010100000 +#18011100000 +#18020000000 +0! +0" +0# +0$ +08 +0> +0K +#18030000000 +1! +1" +1# +1$ +18 +1> +1K +#18030100000 +#18031100000 +#18040000000 +0! +0" +0# +0$ +08 +0> +0K +#18050000000 +1! +1" +1# +1$ +18 +1> +1K +#18050100000 +#18051100000 +#18060000000 +0! +0" +0# +0$ +08 +0> +0K +#18070000000 +1! +1" +1# +1$ +18 +1> +1K +#18070100000 +#18071100000 +#18080000000 +0! +0" +0# +0$ +08 +0> +0K +#18090000000 +1! +1" +1# +1$ +18 +1> +1K +#18090100000 +#18091100000 +#18100000000 +0! +0" +0# +0$ +08 +0> +0K +#18110000000 +1! +1" +1# +1$ +18 +1> +1K +#18110100000 +#18111100000 +#18120000000 +0! +0" +0# +0$ +08 +0> +0K +#18130000000 +1! +1" +1# +1$ +18 +1> +1K +#18130100000 +#18131100000 +#18140000000 +0! +0" +0# +0$ +08 +0> +0K +#18150000000 +1! +1" +1# +1$ +18 +1> +1K +#18150100000 +#18151100000 +#18160000000 +0! +0" +0# +0$ +08 +0> +0K +#18170000000 +1! +1" +1# +1$ +18 +1> +1K +#18170100000 +#18171100000 +#18180000000 +0! +0" +0# +0$ +08 +0> +0K +#18190000000 +1! +1" +1# +1$ +18 +1> +1K +#18190100000 +#18191100000 +#18200000000 +0! +0" +0# +0$ +08 +0> +0K +#18210000000 +1! +1" +1# +1$ +18 +1> +1K +#18210100000 +#18211100000 +#18220000000 +0! +0" +0# +0$ +08 +0> +0K +#18230000000 +1! +1" +1# +1$ +18 +1> +1K +#18230100000 +#18231100000 +#18240000000 +0! +0" +0# +0$ +08 +0> +0K +#18250000000 +1! +1" +1# +1$ +18 +1> +1K +#18250100000 +#18251100000 +#18260000000 +0! +0" +0# +0$ +08 +0> +0K +#18270000000 +1! +1" +1# +1$ +18 +1> +1K +#18270100000 +#18271100000 +#18280000000 +0! +0" +0# +0$ +08 +0> +0K +#18290000000 +1! +1" +1# +1$ +18 +1> +1K +#18290100000 +#18291100000 +#18300000000 +0! +0" +0# +0$ +08 +0> +0K +#18310000000 +1! +1" +1# +1$ +18 +1> +1K +#18310100000 +#18311100000 +#18320000000 +0! +0" +0# +0$ +08 +0> +0K +#18330000000 +1! +1" +1# +1$ +18 +1> +1K +#18330100000 +#18331100000 +#18340000000 +0! +0" +0# +0$ +08 +0> +0K +#18350000000 +1! +1" +1# +1$ +18 +1> +1K +#18350100000 +#18351100000 +#18360000000 +0! +0" +0# +0$ +08 +0> +0K +#18370000000 +1! +1" +1# +1$ +18 +1> +1K +#18370100000 +#18371100000 +#18380000000 +0! +0" +0# +0$ +08 +0> +0K +#18390000000 +1! +1" +1# +1$ +18 +1> +1K +#18390100000 +#18391100000 +#18400000000 +0! +0" +0# +0$ +08 +0> +0K +#18410000000 +1! +1" +1# +1$ +18 +1> +1K +#18410100000 +#18411100000 +#18420000000 +0! +0" +0# +0$ +08 +0> +0K +#18430000000 +1! +1" +1# +1$ +18 +1> +1K +#18430100000 +#18431100000 +#18440000000 +0! +0" +0# +0$ +08 +0> +0K +#18450000000 +1! +1" +1# +1$ +18 +1> +1K +#18450100000 +#18451100000 +#18460000000 +0! +0" +0# +0$ +08 +0> +0K +#18470000000 +1! +1" +1# +1$ +18 +1> +1K +#18470100000 +#18471100000 +#18480000000 +0! +0" +0# +0$ +08 +0> +0K +#18490000000 +1! +1" +1# +1$ +18 +1> +1K +#18490100000 +#18491100000 +#18500000000 +0! +0" +0# +0$ +08 +0> +0K +#18510000000 +1! +1" +1# +1$ +18 +1> +1K +#18510100000 +#18511100000 +#18520000000 +0! +0" +0# +0$ +08 +0> +0K +#18530000000 +1! +1" +1# +1$ +18 +1> +1K +#18530100000 +#18531100000 +#18540000000 +0! +0" +0# +0$ +08 +0> +0K +#18550000000 +1! +1" +1# +1$ +18 +1> +1K +#18550100000 +#18551100000 +#18560000000 +0! +0" +0# +0$ +08 +0> +0K +#18570000000 +1! +1" +1# +1$ +18 +1> +1K +#18570100000 +#18571100000 +#18580000000 +0! +0" +0# +0$ +08 +0> +0K +#18590000000 +1! +1" +1# +1$ +18 +1> +1K +#18590100000 +#18591100000 +#18600000000 +0! +0" +0# +0$ +08 +0> +0K +#18610000000 +1! +1" +1# +1$ +18 +1> +1K +#18610100000 +#18611100000 +#18620000000 +0! +0" +0# +0$ +08 +0> +0K +#18630000000 +1! +1" +1# +1$ +18 +1> +1K +#18630100000 +#18631100000 +#18640000000 +0! +0" +0# +0$ +08 +0> +0K +#18650000000 +1! +1" +1# +1$ +18 +1> +1K +#18650100000 +#18651100000 +#18660000000 +0! +0" +0# +0$ +08 +0> +0K +#18670000000 +1! +1" +1# +1$ +18 +1> +1K +#18670100000 +#18671100000 +#18680000000 +0! +0" +0# +0$ +08 +0> +0K +#18690000000 +1! +1" +1# +1$ +18 +1> +1K +#18690100000 +#18691100000 +#18700000000 +0! +0" +0# +0$ +08 +0> +0K +#18710000000 +1! +1" +1# +1$ +18 +1> +1K +#18710100000 +#18711100000 +#18720000000 +0! +0" +0# +0$ +08 +0> +0K +#18730000000 +1! +1" +1# +1$ +18 +1> +1K +#18730100000 +#18731100000 +#18740000000 +0! +0" +0# +0$ +08 +0> +0K +#18750000000 +1! +1" +1# +1$ +18 +1> +1K +#18750100000 +#18751100000 +#18760000000 +0! +0" +0# +0$ +08 +0> +0K +#18770000000 +1! +1" +1# +1$ +18 +1> +1K +#18770100000 +#18771100000 +#18780000000 +0! +0" +0# +0$ +08 +0> +0K +#18790000000 +1! +1" +1# +1$ +18 +1> +1K +#18790100000 +#18791100000 +#18800000000 +0! +0" +0# +0$ +08 +0> +0K +#18810000000 +1! +1" +1# +1$ +18 +1> +1K +#18810100000 +#18811100000 +#18820000000 +0! +0" +0# +0$ +08 +0> +0K +#18830000000 +1! +1" +1# +1$ +18 +1> +1K +#18830100000 +#18831100000 +#18840000000 +0! +0" +0# +0$ +08 +0> +0K +#18850000000 +1! +1" +1# +1$ +18 +1> +1K +#18850100000 +#18851100000 +#18860000000 +0! +0" +0# +0$ +08 +0> +0K +#18870000000 +1! +1" +1# +1$ +18 +1> +1K +#18870100000 +#18871100000 +#18880000000 +0! +0" +0# +0$ +08 +0> +0K +#18890000000 +1! +1" +1# +1$ +18 +1> +1K +#18890100000 +#18891100000 +#18900000000 +0! +0" +0# +0$ +08 +0> +0K +#18910000000 +1! +1" +1# +1$ +18 +1> +1K +#18910100000 +#18911100000 +#18920000000 +0! +0" +0# +0$ +08 +0> +0K +#18930000000 +1! +1" +1# +1$ +18 +1> +1K +#18930100000 +#18931100000 +#18940000000 +0! +0" +0# +0$ +08 +0> +0K +#18950000000 +1! +1" +1# +1$ +18 +1> +1K +#18950100000 +#18951100000 +#18960000000 +0! +0" +0# +0$ +08 +0> +0K +#18970000000 +1! +1" +1# +1$ +18 +1> +1K +#18970100000 +#18971100000 +#18980000000 +0! +0" +0# +0$ +08 +0> +0K +#18990000000 +1! +1" +1# +1$ +18 +1> +1K +#18990100000 +#18991100000 +#19000000000 +0! +0" +0# +0$ +08 +0> +0K +#19010000000 +1! +1" +1# +1$ +18 +1> +1K +#19010100000 +#19011100000 +#19020000000 +0! +0" +0# +0$ +08 +0> +0K +#19030000000 +1! +1" +1# +1$ +18 +1> +1K +#19030100000 +#19031100000 +#19040000000 +0! +0" +0# +0$ +08 +0> +0K +#19050000000 +1! +1" +1# +1$ +18 +1> +1K +#19050100000 +#19051100000 +#19060000000 +0! +0" +0# +0$ +08 +0> +0K +#19070000000 +1! +1" +1# +1$ +18 +1> +1K +#19070100000 +#19071100000 +#19080000000 +0! +0" +0# +0$ +08 +0> +0K +#19090000000 +1! +1" +1# +1$ +18 +1> +1K +#19090100000 +#19091100000 +#19100000000 +0! +0" +0# +0$ +08 +0> +0K +#19110000000 +1! +1" +1# +1$ +18 +1> +1K +#19110100000 +#19111100000 +#19120000000 +0! +0" +0# +0$ +08 +0> +0K +#19130000000 +1! +1" +1# +1$ +18 +1> +1K +#19130100000 +#19131100000 +#19140000000 +0! +0" +0# +0$ +08 +0> +0K +#19150000000 +1! +1" +1# +1$ +18 +1> +1K +#19150100000 +#19151100000 +#19160000000 +0! +0" +0# +0$ +08 +0> +0K +#19170000000 +1! +1" +1# +1$ +18 +1> +1K +#19170100000 +#19171100000 +#19180000000 +0! +0" +0# +0$ +08 +0> +0K +#19190000000 +1! +1" +1# +1$ +18 +1> +1K +#19190100000 +#19191100000 +#19200000000 +0! +0" +0# +0$ +08 +0> +0K +#19210000000 +1! +1" +1# +1$ +18 +1> +1K +#19210100000 +#19211100000 +#19220000000 +0! +0" +0# +0$ +08 +0> +0K +#19230000000 +1! +1" +1# +1$ +18 +1> +1K +#19230100000 +#19231100000 +#19240000000 +0! +0" +0# +0$ +08 +0> +0K +#19250000000 +1! +1" +1# +1$ +18 +1> +1K +#19250100000 +#19251100000 +#19260000000 +0! +0" +0# +0$ +08 +0> +0K +#19270000000 +1! +1" +1# +1$ +18 +1> +1K +#19270100000 +#19271100000 +#19280000000 +0! +0" +0# +0$ +08 +0> +0K +#19290000000 +1! +1" +1# +1$ +18 +1> +1K +#19290100000 +#19291100000 +#19300000000 +0! +0" +0# +0$ +08 +0> +0K +#19310000000 +1! +1" +1# +1$ +18 +1> +1K +#19310100000 +#19311100000 +#19320000000 +0! +0" +0# +0$ +08 +0> +0K +#19330000000 +1! +1" +1# +1$ +18 +1> +1K +#19330100000 +#19331100000 +#19340000000 +0! +0" +0# +0$ +08 +0> +0K +#19350000000 +1! +1" +1# +1$ +18 +1> +1K +#19350100000 +#19351100000 +#19360000000 +0! +0" +0# +0$ +08 +0> +0K +#19370000000 +1! +1" +1# +1$ +18 +1> +1K +#19370100000 +#19371100000 +#19380000000 +0! +0" +0# +0$ +08 +0> +0K +#19390000000 +1! +1" +1# +1$ +18 +1> +1K +#19390100000 +#19391100000 +#19400000000 +0! +0" +0# +0$ +08 +0> +0K +#19410000000 +1! +1" +1# +1$ +18 +1> +1K +#19410100000 +#19411100000 +#19420000000 +0! +0" +0# +0$ +08 +0> +0K +#19430000000 +1! +1" +1# +1$ +18 +1> +1K +#19430100000 +#19431100000 +#19440000000 +0! +0" +0# +0$ +08 +0> +0K +#19450000000 +1! +1" +1# +1$ +18 +1> +1K +#19450100000 +#19451100000 +#19460000000 +0! +0" +0# +0$ +08 +0> +0K +#19470000000 +1! +1" +1# +1$ +18 +1> +1K +#19470100000 +#19471100000 +#19480000000 +0! +0" +0# +0$ +08 +0> +0K +#19490000000 +1! +1" +1# +1$ +18 +1> +1K +#19490100000 +#19491100000 +#19500000000 +0! +0" +0# +0$ +08 +0> +0K +#19510000000 +1! +1" +1# +1$ +18 +1> +1K +#19510100000 +#19511100000 +#19520000000 +0! +0" +0# +0$ +08 +0> +0K +#19530000000 +1! +1" +1# +1$ +18 +1> +1K +#19530100000 +#19531100000 +#19540000000 +0! +0" +0# +0$ +08 +0> +0K +#19550000000 +1! +1" +1# +1$ +18 +1> +1K +#19550100000 +#19551100000 +#19560000000 +0! +0" +0# +0$ +08 +0> +0K +#19570000000 +1! +1" +1# +1$ +18 +1> +1K +#19570100000 +#19571100000 +#19580000000 +0! +0" +0# +0$ +08 +0> +0K +#19590000000 +1! +1" +1# +1$ +18 +1> +1K +#19590100000 +#19591100000 +#19600000000 +0! +0" +0# +0$ +08 +0> +0K +#19610000000 +1! +1" +1# +1$ +18 +1> +1K +#19610100000 +#19611100000 +#19620000000 +0! +0" +0# +0$ +08 +0> +0K +#19630000000 +1! +1" +1# +1$ +18 +1> +1K +#19630100000 +#19631100000 +#19640000000 +0! +0" +0# +0$ +08 +0> +0K +#19650000000 +1! +1" +1# +1$ +18 +1> +1K +#19650100000 +#19651100000 +#19660000000 +0! +0" +0# +0$ +08 +0> +0K +#19670000000 +1! +1" +1# +1$ +18 +1> +1K +#19670100000 +#19671100000 +#19680000000 +0! +0" +0# +0$ +08 +0> +0K +#19690000000 +1! +1" +1# +1$ +18 +1> +1K +#19690100000 +#19691100000 +#19700000000 +0! +0" +0# +0$ +08 +0> +0K +#19710000000 +1! +1" +1# +1$ +18 +1> +1K +#19710100000 +#19711100000 +#19720000000 +0! +0" +0# +0$ +08 +0> +0K +#19730000000 +1! +1" +1# +1$ +18 +1> +1K +#19730100000 +#19731100000 +#19740000000 +0! +0" +0# +0$ +08 +0> +0K +#19750000000 +1! +1" +1# +1$ +18 +1> +1K +#19750100000 +#19751100000 +#19760000000 +0! +0" +0# +0$ +08 +0> +0K +#19770000000 +1! +1" +1# +1$ +18 +1> +1K +#19770100000 +#19771100000 +#19780000000 +0! +0" +0# +0$ +08 +0> +0K +#19790000000 +1! +1" +1# +1$ +18 +1> +1K +#19790100000 +#19791100000 +#19800000000 +0! +0" +0# +0$ +08 +0> +0K +#19810000000 +1! +1" +1# +1$ +18 +1> +1K +#19810100000 +#19811100000 +#19820000000 +0! +0" +0# +0$ +08 +0> +0K +#19830000000 +1! +1" +1# +1$ +18 +1> +1K +#19830100000 +#19831100000 +#19840000000 +0! +0" +0# +0$ +08 +0> +0K +#19850000000 +1! +1" +1# +1$ +18 +1> +1K +#19850100000 +#19851100000 +#19860000000 +0! +0" +0# +0$ +08 +0> +0K +#19870000000 +1! +1" +1# +1$ +18 +1> +1K +#19870100000 +#19871100000 +#19880000000 +0! +0" +0# +0$ +08 +0> +0K +#19890000000 +1! +1" +1# +1$ +18 +1> +1K +#19890100000 +#19891100000 +#19900000000 +0! +0" +0# +0$ +08 +0> +0K +#19910000000 +1! +1" +1# +1$ +18 +1> +1K +#19910100000 +#19911100000 +#19920000000 +0! +0" +0# +0$ +08 +0> +0K +#19930000000 +1! +1" +1# +1$ +18 +1> +1K +#19930100000 +#19931100000 +#19940000000 +0! +0" +0# +0$ +08 +0> +0K +#19950000000 +1! +1" +1# +1$ +18 +1> +1K +#19950100000 +#19951100000 +#19960000000 +0! +0" +0# +0$ +08 +0> +0K +#19970000000 +1! +1" +1# +1$ +18 +1> +1K +#19970100000 +#19971100000 +#19980000000 +0! +0" +0# +0$ +08 +0> +0K +#19990000000 +1! +1" +1# +1$ +18 +1> +1K +#19990100000 +#19991100000 +#20000000000 +0! +0" +0# +0$ +08 +0> +0K +#20010000000 +1! +1" +1# +1$ +18 +1> +1K +#20010100000 +#20011100000 +#20020000000 +0! +0" +0# +0$ +08 +0> +0K +#20030000000 +1! +1" +1# +1$ +18 +1> +1K +#20030100000 +#20031100000 +#20040000000 +0! +0" +0# +0$ +08 +0> +0K +#20050000000 +1! +1" +1# +1$ +18 +1> +1K +#20050100000 +#20051100000 +#20060000000 +0! +0" +0# +0$ +08 +0> +0K +#20070000000 +1! +1" +1# +1$ +18 +1> +1K +#20070100000 +#20071100000 +#20080000000 +0! +0" +0# +0$ +08 +0> +0K +#20090000000 +1! +1" +1# +1$ +18 +1> +1K +#20090100000 +#20091100000 +#20100000000 +0! +0" +0# +0$ +08 +0> +0K +#20110000000 +1! +1" +1# +1$ +18 +1> +1K +#20110100000 +#20111100000 +#20120000000 +0! +0" +0# +0$ +08 +0> +0K +#20130000000 +1! +1" +1# +1$ +18 +1> +1K +#20130100000 +#20131100000 +#20140000000 +0! +0" +0# +0$ +08 +0> +0K +#20150000000 +1! +1" +1# +1$ +18 +1> +1K +#20150100000 +#20151100000 +#20160000000 +0! +0" +0# +0$ +08 +0> +0K +#20170000000 +1! +1" +1# +1$ +18 +1> +1K +#20170100000 +#20171100000 +#20180000000 +0! +0" +0# +0$ +08 +0> +0K +#20190000000 +1! +1" +1# +1$ +18 +1> +1K +#20190100000 +#20191100000 +#20200000000 +0! +0" +0# +0$ +08 +0> +0K +#20210000000 +1! +1" +1# +1$ +18 +1> +1K +#20210100000 +#20211100000 +#20220000000 +0! +0" +0# +0$ +08 +0> +0K +#20230000000 +1! +1" +1# +1$ +18 +1> +1K +#20230100000 +#20231100000 +#20240000000 +0! +0" +0# +0$ +08 +0> +0K +#20250000000 +1! +1" +1# +1$ +18 +1> +1K +#20250100000 +#20251100000 +#20260000000 +0! +0" +0# +0$ +08 +0> +0K +#20270000000 +1! +1" +1# +1$ +18 +1> +1K +#20270100000 +#20271100000 +#20280000000 +0! +0" +0# +0$ +08 +0> +0K +#20290000000 +1! +1" +1# +1$ +18 +1> +1K +#20290100000 +#20291100000 +#20300000000 +0! +0" +0# +0$ +08 +0> +0K +#20310000000 +1! +1" +1# +1$ +18 +1> +1K +#20310100000 +#20311100000 +#20320000000 +0! +0" +0# +0$ +08 +0> +0K +#20330000000 +1! +1" +1# +1$ +18 +1> +1K +#20330100000 +#20331100000 +#20340000000 +0! +0" +0# +0$ +08 +0> +0K +#20350000000 +1! +1" +1# +1$ +18 +1> +1K +#20350100000 +#20351100000 +#20360000000 +0! +0" +0# +0$ +08 +0> +0K +#20370000000 +1! +1" +1# +1$ +18 +1> +1K +#20370100000 +#20371100000 +#20380000000 +0! +0" +0# +0$ +08 +0> +0K +#20390000000 +1! +1" +1# +1$ +18 +1> +1K +#20390100000 +#20391100000 +#20400000000 +0! +0" +0# +0$ +08 +0> +0K +#20410000000 +1! +1" +1# +1$ +18 +1> +1K +#20410100000 +#20411100000 +#20420000000 +0! +0" +0# +0$ +08 +0> +0K +#20430000000 +1! +1" +1# +1$ +18 +1> +1K +#20430100000 +#20431100000 +#20440000000 +0! +0" +0# +0$ +08 +0> +0K +#20450000000 +1! +1" +1# +1$ +18 +1> +1K +#20450100000 +#20451100000 +#20460000000 +0! +0" +0# +0$ +08 +0> +0K +#20470000000 +1! +1" +1# +1$ +18 +1> +1K +#20470100000 +#20471100000 +#20480000000 +0! +0" +0# +0$ +08 +0> +0K +#20490000000 +1! +1" +1# +1$ +18 +1> +1K +#20490100000 +#20491100000 +#20500000000 +0! +0" +0# +0$ +08 +0> +0K +#20510000000 +1! +1" +1# +1$ +18 +1> +1K +#20510100000 +#20511100000 +#20520000000 +0! +0" +0# +0$ +08 +0> +0K +#20530000000 +1! +1" +1# +1$ +18 +1> +1K +#20530100000 +#20531100000 +#20540000000 +0! +0" +0# +0$ +08 +0> +0K +#20550000000 +1! +1" +1# +1$ +18 +1> +1K +#20550100000 +#20551100000 +#20560000000 +0! +0" +0# +0$ +08 +0> +0K +#20570000000 +1! +1" +1# +1$ +18 +1> +1K +#20570100000 +#20571100000 +#20580000000 +0! +0" +0# +0$ +08 +0> +0K +#20590000000 +1! +1" +1# +1$ +18 +1> +1K +#20590100000 +#20591100000 +#20600000000 +0! +0" +0# +0$ +08 +0> +0K +#20610000000 +1! +1" +1# +1$ +18 +1> +1K +#20610100000 +#20611100000 +#20620000000 +0! +0" +0# +0$ +08 +0> +0K +#20630000000 +1! +1" +1# +1$ +18 +1> +1K +#20630100000 +#20631100000 +#20640000000 +0! +0" +0# +0$ +08 +0> +0K +#20650000000 +1! +1" +1# +1$ +18 +1> +1K +#20650100000 +#20651100000 +#20660000000 +0! +0" +0# +0$ +08 +0> +0K +#20670000000 +1! +1" +1# +1$ +18 +1> +1K +#20670100000 +#20671100000 +#20680000000 +0! +0" +0# +0$ +08 +0> +0K +#20690000000 +1! +1" +1# +1$ +18 +1> +1K +#20690100000 +#20691100000 +#20700000000 +0! +0" +0# +0$ +08 +0> +0K +#20710000000 +1! +1" +1# +1$ +18 +1> +1K +#20710100000 +#20711100000 +#20720000000 +0! +0" +0# +0$ +08 +0> +0K +#20730000000 +1! +1" +1# +1$ +18 +1> +1K +#20730100000 +#20731100000 +#20740000000 +0! +0" +0# +0$ +08 +0> +0K +#20750000000 +1! +1" +1# +1$ +18 +1> +1K +#20750100000 +#20751100000 +#20760000000 +0! +0" +0# +0$ +08 +0> +0K +#20770000000 +1! +1" +1# +1$ +18 +1> +1K +#20770100000 +#20771100000 +#20780000000 +0! +0" +0# +0$ +08 +0> +0K +#20790000000 +1! +1" +1# +1$ +18 +1> +1K +#20790100000 +#20791100000 +#20800000000 +0! +0" +0# +0$ +08 +0> +0K +#20810000000 +1! +1" +1# +1$ +18 +1> +1K +#20810100000 +#20811100000 +#20820000000 +0! +0" +0# +0$ +08 +0> +0K +#20830000000 +1! +1" +1# +1$ +18 +1> +1K +#20830100000 +#20831100000 +#20840000000 +0! +0" +0# +0$ +08 +0> +0K +#20850000000 +1! +1" +1# +1$ +18 +1> +1K +#20850100000 +#20851100000 +#20860000000 +0! +0" +0# +0$ +08 +0> +0K +#20870000000 +1! +1" +1# +1$ +18 +1> +1K +#20870100000 +#20871100000 +#20880000000 +0! +0" +0# +0$ +08 +0> +0K +#20890000000 +1! +1" +1# +1$ +18 +1> +1K +#20890100000 +#20891100000 +#20900000000 +0! +0" +0# +0$ +08 +0> +0K +#20910000000 +1! +1" +1# +1$ +18 +1> +1K +#20910100000 +#20911100000 +#20920000000 +0! +0" +0# +0$ +08 +0> +0K +#20930000000 +1! +1" +1# +1$ +18 +1> +1K +#20930100000 +#20931100000 +#20940000000 +0! +0" +0# +0$ +08 +0> +0K +#20950000000 +1! +1" +1# +1$ +18 +1> +1K +#20950100000 +#20951100000 +#20960000000 +0! +0" +0# +0$ +08 +0> +0K +#20970000000 +1! +1" +1# +1$ +18 +1> +1K +#20970100000 +#20971100000 +#20980000000 +0! +0" +0# +0$ +08 +0> +0K +#20990000000 +1! +1" +1# +1$ +18 +1> +1K +#20990100000 +#20991100000 +#21000000000 +0! +0" +0# +0$ +08 +0> +0K +#21010000000 +1! +1" +1# +1$ +18 +1> +1K +#21010100000 +#21011100000 +#21020000000 +0! +0" +0# +0$ +08 +0> +0K +#21030000000 +1! +1" +1# +1$ +18 +1> +1K +#21030100000 +#21031100000 +#21040000000 +0! +0" +0# +0$ +08 +0> +0K +#21050000000 +1! +1" +1# +1$ +18 +1> +1K +#21050100000 +#21051100000 +#21060000000 +0! +0" +0# +0$ +08 +0> +0K +#21070000000 +1! +1" +1# +1$ +18 +1> +1K +#21070100000 +#21071100000 +#21080000000 +0! +0" +0# +0$ +08 +0> +0K +#21090000000 +1! +1" +1# +1$ +18 +1> +1K +#21090100000 +#21091100000 +#21100000000 +0! +0" +0# +0$ +08 +0> +0K +#21110000000 +1! +1" +1# +1$ +18 +1> +1K +#21110100000 +#21111100000 +#21120000000 +0! +0" +0# +0$ +08 +0> +0K +#21130000000 +1! +1" +1# +1$ +18 +1> +1K +#21130100000 +#21131100000 +#21140000000 +0! +0" +0# +0$ +08 +0> +0K +#21150000000 +1! +1" +1# +1$ +18 +1> +1K +#21150100000 +#21151100000 +#21160000000 +0! +0" +0# +0$ +08 +0> +0K +#21170000000 +1! +1" +1# +1$ +18 +1> +1K +#21170100000 +#21171100000 +#21180000000 +0! +0" +0# +0$ +08 +0> +0K +#21190000000 +1! +1" +1# +1$ +18 +1> +1K +#21190100000 +#21191100000 +#21200000000 +0! +0" +0# +0$ +08 +0> +0K +#21210000000 +1! +1" +1# +1$ +18 +1> +1K +#21210100000 +#21211100000 +#21220000000 +0! +0" +0# +0$ +08 +0> +0K +#21230000000 +1! +1" +1# +1$ +18 +1> +1K +#21230100000 +#21231100000 +#21240000000 +0! +0" +0# +0$ +08 +0> +0K +#21250000000 +1! +1" +1# +1$ +18 +1> +1K +#21250100000 +#21251100000 +#21260000000 +0! +0" +0# +0$ +08 +0> +0K +#21270000000 +1! +1" +1# +1$ +18 +1> +1K +#21270100000 +#21271100000 +#21280000000 +0! +0" +0# +0$ +08 +0> +0K +#21290000000 +1! +1" +1# +1$ +18 +1> +1K +#21290100000 +#21291100000 +#21300000000 +0! +0" +0# +0$ +08 +0> +0K +#21310000000 +1! +1" +1# +1$ +18 +1> +1K +#21310100000 +#21311100000 +#21320000000 +0! +0" +0# +0$ +08 +0> +0K +#21330000000 +1! +1" +1# +1$ +18 +1> +1K +#21330100000 +#21331100000 +#21340000000 +0! +0" +0# +0$ +08 +0> +0K +#21350000000 +1! +1" +1# +1$ +18 +1> +1K +#21350100000 +#21351100000 +#21360000000 +0! +0" +0# +0$ +08 +0> +0K +#21370000000 +1! +1" +1# +1$ +18 +1> +1K +#21370100000 +#21371100000 +#21380000000 +0! +0" +0# +0$ +08 +0> +0K +#21390000000 +1! +1" +1# +1$ +18 +1> +1K +#21390100000 +#21391100000 +#21400000000 +0! +0" +0# +0$ +08 +0> +0K +#21410000000 +1! +1" +1# +1$ +18 +1> +1K +#21410100000 +#21411100000 +#21420000000 +0! +0" +0# +0$ +08 +0> +0K +#21430000000 +1! +1" +1# +1$ +18 +1> +1K +#21430100000 +#21431100000 +#21440000000 +0! +0" +0# +0$ +08 +0> +0K +#21450000000 +1! +1" +1# +1$ +18 +1> +1K +#21450100000 +#21451100000 +#21460000000 +0! +0" +0# +0$ +08 +0> +0K +#21470000000 +1! +1" +1# +1$ +18 +1> +1K +#21470100000 +#21471100000 +#21480000000 +0! +0" +0# +0$ +08 +0> +0K +#21490000000 +1! +1" +1# +1$ +18 +1> +1K +#21490100000 +#21491100000 +#21500000000 +0! +0" +0# +0$ +08 +0> +0K +#21510000000 +1! +1" +1# +1$ +18 +1> +1K +#21510100000 +#21511100000 +#21520000000 +0! +0" +0# +0$ +08 +0> +0K +#21530000000 +1! +1" +1# +1$ +18 +1> +1K +#21530100000 +#21531100000 +#21540000000 +0! +0" +0# +0$ +08 +0> +0K +#21550000000 +1! +1" +1# +1$ +18 +1> +1K +#21550100000 +#21551100000 +#21560000000 +0! +0" +0# +0$ +08 +0> +0K +#21570000000 +1! +1" +1# +1$ +18 +1> +1K +#21570100000 +#21571100000 +#21580000000 +0! +0" +0# +0$ +08 +0> +0K +#21590000000 +1! +1" +1# +1$ +18 +1> +1K +#21590100000 +#21591100000 +#21600000000 +0! +0" +0# +0$ +08 +0> +0K +#21610000000 +1! +1" +1# +1$ +18 +1> +1K +#21610100000 +#21611100000 +#21620000000 +0! +0" +0# +0$ +08 +0> +0K +#21630000000 +1! +1" +1# +1$ +18 +1> +1K +#21630100000 +#21631100000 +#21640000000 +0! +0" +0# +0$ +08 +0> +0K +#21650000000 +1! +1" +1# +1$ +18 +1> +1K +#21650100000 +#21651100000 +#21660000000 +0! +0" +0# +0$ +08 +0> +0K +#21670000000 +1! +1" +1# +1$ +18 +1> +1K +#21670100000 +#21671100000 +#21680000000 +0! +0" +0# +0$ +08 +0> +0K +#21690000000 +1! +1" +1# +1$ +18 +1> +1K +#21690100000 +#21691100000 +#21700000000 +0! +0" +0# +0$ +08 +0> +0K +#21710000000 +1! +1" +1# +1$ +18 +1> +1K +#21710100000 +#21711100000 +#21720000000 +0! +0" +0# +0$ +08 +0> +0K +#21730000000 +1! +1" +1# +1$ +18 +1> +1K +#21730100000 +#21731100000 +#21740000000 +0! +0" +0# +0$ +08 +0> +0K +#21750000000 +1! +1" +1# +1$ +18 +1> +1K +#21750100000 +#21751100000 +#21760000000 +0! +0" +0# +0$ +08 +0> +0K +#21770000000 +1! +1" +1# +1$ +18 +1> +1K +#21770100000 +#21771100000 +#21780000000 +0! +0" +0# +0$ +08 +0> +0K +#21790000000 +1! +1" +1# +1$ +18 +1> +1K +#21790100000 +#21791100000 +#21800000000 +0! +0" +0# +0$ +08 +0> +0K +#21810000000 +1! +1" +1# +1$ +18 +1> +1K +#21810100000 +#21811100000 +#21820000000 +0! +0" +0# +0$ +08 +0> +0K +#21830000000 +1! +1" +1# +1$ +18 +1> +1K +#21830100000 +#21831100000 +#21840000000 +0! +0" +0# +0$ +08 +0> +0K +#21850000000 +1! +1" +1# +1$ +18 +1> +1K +#21850100000 +#21851100000 +#21860000000 +0! +0" +0# +0$ +08 +0> +0K +#21870000000 +1! +1" +1# +1$ +18 +1> +1K +#21870100000 +#21871100000 +#21880000000 +0! +0" +0# +0$ +08 +0> +0K +#21890000000 +1! +1" +1# +1$ +18 +1> +1K +#21890100000 +#21891100000 +#21900000000 +0! +0" +0# +0$ +08 +0> +0K +#21910000000 +1! +1" +1# +1$ +18 +1> +1K +#21910100000 +#21911100000 +#21920000000 +0! +0" +0# +0$ +08 +0> +0K +#21930000000 +1! +1" +1# +1$ +18 +1> +1K +#21930100000 +#21931100000 +#21940000000 +0! +0" +0# +0$ +08 +0> +0K +#21950000000 +1! +1" +1# +1$ +18 +1> +1K +#21950100000 +#21951100000 +#21960000000 +0! +0" +0# +0$ +08 +0> +0K +#21970000000 +1! +1" +1# +1$ +18 +1> +1K +#21970100000 +#21971100000 +#21980000000 +0! +0" +0# +0$ +08 +0> +0K +#21990000000 +1! +1" +1# +1$ +18 +1> +1K +#21990100000 +#21991100000 +#22000000000 +0! +0" +0# +0$ +08 +0> +0K +#22010000000 +1! +1" +1# +1$ +18 +1> +1K +#22010100000 +#22011100000 +#22020000000 +0! +0" +0# +0$ +08 +0> +0K +#22030000000 +1! +1" +1# +1$ +18 +1> +1K +#22030100000 +#22031100000 +#22040000000 +0! +0" +0# +0$ +08 +0> +0K +#22050000000 +1! +1" +1# +1$ +18 +1> +1K +#22050100000 +#22051100000 +#22060000000 +0! +0" +0# +0$ +08 +0> +0K +#22070000000 +1! +1" +1# +1$ +18 +1> +1K +#22070100000 +#22071100000 +#22080000000 +0! +0" +0# +0$ +08 +0> +0K +#22090000000 +1! +1" +1# +1$ +18 +1> +1K +#22090100000 +#22091100000 +#22100000000 +0! +0" +0# +0$ +08 +0> +0K +#22110000000 +1! +1" +1# +1$ +18 +1> +1K +#22110100000 +#22111100000 +#22120000000 +0! +0" +0# +0$ +08 +0> +0K +#22130000000 +1! +1" +1# +1$ +18 +1> +1K +#22130100000 +#22131100000 +#22140000000 +0! +0" +0# +0$ +08 +0> +0K +#22150000000 +1! +1" +1# +1$ +18 +1> +1K +#22150100000 +#22151100000 +#22160000000 +0! +0" +0# +0$ +08 +0> +0K +#22170000000 +1! +1" +1# +1$ +18 +1> +1K +#22170100000 +#22171100000 +#22180000000 +0! +0" +0# +0$ +08 +0> +0K +#22190000000 +1! +1" +1# +1$ +18 +1> +1K +#22190100000 +#22191100000 +#22200000000 +0! +0" +0# +0$ +08 +0> +0K +#22210000000 +1! +1" +1# +1$ +18 +1> +1K +#22210100000 +#22211100000 +#22220000000 +0! +0" +0# +0$ +08 +0> +0K +#22230000000 +1! +1" +1# +1$ +18 +1> +1K +#22230100000 +#22231100000 +#22240000000 +0! +0" +0# +0$ +08 +0> +0K +#22250000000 +1! +1" +1# +1$ +18 +1> +1K +#22250100000 +#22251100000 +#22260000000 +0! +0" +0# +0$ +08 +0> +0K +#22270000000 +1! +1" +1# +1$ +18 +1> +1K +#22270100000 +#22271100000 +#22280000000 +0! +0" +0# +0$ +08 +0> +0K +#22290000000 +1! +1" +1# +1$ +18 +1> +1K +#22290100000 +#22291100000 +#22300000000 +0! +0" +0# +0$ +08 +0> +0K +#22310000000 +1! +1" +1# +1$ +18 +1> +1K +#22310100000 +#22311100000 +#22320000000 +0! +0" +0# +0$ +08 +0> +0K +#22330000000 +1! +1" +1# +1$ +18 +1> +1K +#22330100000 +#22331100000 +#22340000000 +0! +0" +0# +0$ +08 +0> +0K +#22350000000 +1! +1" +1# +1$ +18 +1> +1K +#22350100000 +#22351100000 +#22360000000 +0! +0" +0# +0$ +08 +0> +0K +#22370000000 +1! +1" +1# +1$ +18 +1> +1K +#22370100000 +#22371100000 +#22380000000 +0! +0" +0# +0$ +08 +0> +0K +#22390000000 +1! +1" +1# +1$ +18 +1> +1K +#22390100000 +#22391100000 +#22400000000 +0! +0" +0# +0$ +08 +0> +0K +#22410000000 +1! +1" +1# +1$ +18 +1> +1K +#22410100000 +#22411100000 +#22420000000 +0! +0" +0# +0$ +08 +0> +0K +#22430000000 +1! +1" +1# +1$ +18 +1> +1K +#22430100000 +#22431100000 +#22440000000 +0! +0" +0# +0$ +08 +0> +0K +#22450000000 +1! +1" +1# +1$ +18 +1> +1K +#22450100000 +#22451100000 +#22460000000 +0! +0" +0# +0$ +08 +0> +0K +#22470000000 +1! +1" +1# +1$ +18 +1> +1K +#22470100000 +#22471100000 +#22480000000 +0! +0" +0# +0$ +08 +0> +0K +#22490000000 +1! +1" +1# +1$ +18 +1> +1K +#22490100000 +#22491100000 +#22500000000 +0! +0" +0# +0$ +08 +0> +0K +#22510000000 +1! +1" +1# +1$ +18 +1> +1K +#22510100000 +#22511100000 +#22520000000 +0! +0" +0# +0$ +08 +0> +0K +#22530000000 +1! +1" +1# +1$ +18 +1> +1K +#22530100000 +#22531100000 +#22540000000 +0! +0" +0# +0$ +08 +0> +0K +#22550000000 +1! +1" +1# +1$ +18 +1> +1K +#22550100000 +#22551100000 +#22560000000 +0! +0" +0# +0$ +08 +0> +0K +#22570000000 +1! +1" +1# +1$ +18 +1> +1K +#22570100000 +#22571100000 +#22580000000 +0! +0" +0# +0$ +08 +0> +0K +#22590000000 +1! +1" +1# +1$ +18 +1> +1K +#22590100000 +#22591100000 +#22600000000 +0! +0" +0# +0$ +08 +0> +0K +#22610000000 +1! +1" +1# +1$ +18 +1> +1K +#22610100000 +#22611100000 +#22620000000 +0! +0" +0# +0$ +08 +0> +0K +#22630000000 +1! +1" +1# +1$ +18 +1> +1K +#22630100000 +#22631100000 +#22640000000 +0! +0" +0# +0$ +08 +0> +0K +#22650000000 +1! +1" +1# +1$ +18 +1> +1K +#22650100000 +#22651100000 +#22660000000 +0! +0" +0# +0$ +08 +0> +0K +#22670000000 +1! +1" +1# +1$ +18 +1> +1K +#22670100000 +#22671100000 +#22680000000 +0! +0" +0# +0$ +08 +0> +0K +#22690000000 +1! +1" +1# +1$ +18 +1> +1K +#22690100000 +#22691100000 +#22700000000 +0! +0" +0# +0$ +08 +0> +0K +#22710000000 +1! +1" +1# +1$ +18 +1> +1K +#22710100000 +#22711100000 +#22720000000 +0! +0" +0# +0$ +08 +0> +0K +#22730000000 +1! +1" +1# +1$ +18 +1> +1K +#22730100000 +#22731100000 +#22740000000 +0! +0" +0# +0$ +08 +0> +0K +#22750000000 +1! +1" +1# +1$ +18 +1> +1K +#22750100000 +#22751100000 +#22760000000 +0! +0" +0# +0$ +08 +0> +0K +#22770000000 +1! +1" +1# +1$ +18 +1> +1K +#22770100000 +#22771100000 +#22780000000 +0! +0" +0# +0$ +08 +0> +0K +#22790000000 +1! +1" +1# +1$ +18 +1> +1K +#22790100000 +#22791100000 +#22800000000 +0! +0" +0# +0$ +08 +0> +0K +#22810000000 +1! +1" +1# +1$ +18 +1> +1K +#22810100000 +#22811100000 +#22820000000 +0! +0" +0# +0$ +08 +0> +0K +#22830000000 +1! +1" +1# +1$ +18 +1> +1K +#22830100000 +#22831100000 +#22840000000 +0! +0" +0# +0$ +08 +0> +0K +#22850000000 +1! +1" +1# +1$ +18 +1> +1K +#22850100000 +#22851100000 +#22860000000 +0! +0" +0# +0$ +08 +0> +0K +#22870000000 +1! +1" +1# +1$ +18 +1> +1K +#22870100000 +#22871100000 +#22880000000 +0! +0" +0# +0$ +08 +0> +0K +#22890000000 +1! +1" +1# +1$ +18 +1> +1K +#22890100000 +#22891100000 +#22900000000 +0! +0" +0# +0$ +08 +0> +0K +#22910000000 +1! +1" +1# +1$ +18 +1> +1K +#22910100000 +#22911100000 +#22920000000 +0! +0" +0# +0$ +08 +0> +0K +#22930000000 +1! +1" +1# +1$ +18 +1> +1K +#22930100000 +#22931100000 +#22940000000 +0! +0" +0# +0$ +08 +0> +0K +#22950000000 +1! +1" +1# +1$ +18 +1> +1K +#22950100000 +#22951100000 +#22960000000 +0! +0" +0# +0$ +08 +0> +0K +#22970000000 +1! +1" +1# +1$ +18 +1> +1K +#22970100000 +#22971100000 +#22980000000 +0! +0" +0# +0$ +08 +0> +0K +#22990000000 +1! +1" +1# +1$ +18 +1> +1K +#22990100000 +#22991100000 +#23000000000 +0! +0" +0# +0$ +08 +0> +0K +#23010000000 +1! +1" +1# +1$ +18 +1> +1K +#23010100000 +#23011100000 +#23020000000 +0! +0" +0# +0$ +08 +0> +0K +#23030000000 +1! +1" +1# +1$ +18 +1> +1K +#23030100000 +#23031100000 +#23040000000 +0! +0" +0# +0$ +08 +0> +0K +#23050000000 +1! +1" +1# +1$ +18 +1> +1K +#23050100000 +#23051100000 +#23060000000 +0! +0" +0# +0$ +08 +0> +0K +#23070000000 +1! +1" +1# +1$ +18 +1> +1K +#23070100000 +#23071100000 +#23080000000 +0! +0" +0# +0$ +08 +0> +0K +#23090000000 +1! +1" +1# +1$ +18 +1> +1K +#23090100000 +#23091100000 +#23100000000 +0! +0" +0# +0$ +08 +0> +0K +#23110000000 +1! +1" +1# +1$ +18 +1> +1K +#23110100000 +#23111100000 +#23120000000 +0! +0" +0# +0$ +08 +0> +0K +#23130000000 +1! +1" +1# +1$ +18 +1> +1K +#23130100000 +#23131100000 +#23140000000 +0! +0" +0# +0$ +08 +0> +0K +#23150000000 +1! +1" +1# +1$ +18 +1> +1K +#23150100000 +#23151100000 +#23160000000 +0! +0" +0# +0$ +08 +0> +0K +#23170000000 +1! +1" +1# +1$ +18 +1> +1K +#23170100000 +#23171100000 +#23180000000 +0! +0" +0# +0$ +08 +0> +0K +#23190000000 +1! +1" +1# +1$ +18 +1> +1K +#23190100000 +#23191100000 +#23200000000 +0! +0" +0# +0$ +08 +0> +0K +#23210000000 +1! +1" +1# +1$ +18 +1> +1K +#23210100000 +#23211100000 +#23220000000 +0! +0" +0# +0$ +08 +0> +0K +#23230000000 +1! +1" +1# +1$ +18 +1> +1K +#23230100000 +#23231100000 +#23240000000 +0! +0" +0# +0$ +08 +0> +0K +#23250000000 +1! +1" +1# +1$ +18 +1> +1K +#23250100000 +#23251100000 +#23260000000 +0! +0" +0# +0$ +08 +0> +0K +#23270000000 +1! +1" +1# +1$ +18 +1> +1K +#23270100000 +#23271100000 +#23280000000 +0! +0" +0# +0$ +08 +0> +0K +#23290000000 +1! +1" +1# +1$ +18 +1> +1K +#23290100000 +#23291100000 +#23300000000 +0! +0" +0# +0$ +08 +0> +0K +#23310000000 +1! +1" +1# +1$ +18 +1> +1K +#23310100000 +#23311100000 +#23320000000 +0! +0" +0# +0$ +08 +0> +0K +#23330000000 +1! +1" +1# +1$ +18 +1> +1K +#23330100000 +#23331100000 +#23340000000 +0! +0" +0# +0$ +08 +0> +0K +#23350000000 +1! +1" +1# +1$ +18 +1> +1K +#23350100000 +#23351100000 +#23360000000 +0! +0" +0# +0$ +08 +0> +0K +#23370000000 +1! +1" +1# +1$ +18 +1> +1K +#23370100000 +#23371100000 +#23380000000 +0! +0" +0# +0$ +08 +0> +0K +#23390000000 +1! +1" +1# +1$ +18 +1> +1K +#23390100000 +#23391100000 +#23400000000 +0! +0" +0# +0$ +08 +0> +0K +#23410000000 +1! +1" +1# +1$ +18 +1> +1K +#23410100000 +#23411100000 +#23420000000 +0! +0" +0# +0$ +08 +0> +0K +#23430000000 +1! +1" +1# +1$ +18 +1> +1K +#23430100000 +#23431100000 +#23440000000 +0! +0" +0# +0$ +08 +0> +0K +#23450000000 +1! +1" +1# +1$ +18 +1> +1K +#23450100000 +#23451100000 +#23460000000 +0! +0" +0# +0$ +08 +0> +0K +#23470000000 +1! +1" +1# +1$ +18 +1> +1K +#23470100000 +#23471100000 +#23480000000 +0! +0" +0# +0$ +08 +0> +0K +#23490000000 +1! +1" +1# +1$ +18 +1> +1K +#23490100000 +#23491100000 +#23500000000 +0! +0" +0# +0$ +08 +0> +0K +#23510000000 +1! +1" +1# +1$ +18 +1> +1K +#23510100000 +#23511100000 +#23520000000 +0! +0" +0# +0$ +08 +0> +0K +#23530000000 +1! +1" +1# +1$ +18 +1> +1K +#23530100000 +#23531100000 +#23540000000 +0! +0" +0# +0$ +08 +0> +0K +#23550000000 +1! +1" +1# +1$ +18 +1> +1K +#23550100000 +#23551100000 +#23560000000 +0! +0" +0# +0$ +08 +0> +0K +#23570000000 +1! +1" +1# +1$ +18 +1> +1K +#23570100000 +#23571100000 +#23580000000 +0! +0" +0# +0$ +08 +0> +0K +#23590000000 +1! +1" +1# +1$ +18 +1> +1K +#23590100000 +#23591100000 +#23600000000 +0! +0" +0# +0$ +08 +0> +0K +#23610000000 +1! +1" +1# +1$ +18 +1> +1K +#23610100000 +#23611100000 +#23620000000 +0! +0" +0# +0$ +08 +0> +0K +#23630000000 +1! +1" +1# +1$ +18 +1> +1K +#23630100000 +#23631100000 +#23640000000 +0! +0" +0# +0$ +08 +0> +0K +#23650000000 +1! +1" +1# +1$ +18 +1> +1K +#23650100000 +#23651100000 +#23660000000 +0! +0" +0# +0$ +08 +0> +0K +#23670000000 +1! +1" +1# +1$ +18 +1> +1K +#23670100000 +#23671100000 +#23680000000 +0! +0" +0# +0$ +08 +0> +0K +#23690000000 +1! +1" +1# +1$ +18 +1> +1K +#23690100000 +#23691100000 +#23700000000 +0! +0" +0# +0$ +08 +0> +0K +#23710000000 +1! +1" +1# +1$ +18 +1> +1K +#23710100000 +#23711100000 +#23720000000 +0! +0" +0# +0$ +08 +0> +0K +#23730000000 +1! +1" +1# +1$ +18 +1> +1K +#23730100000 +#23731100000 +#23740000000 +0! +0" +0# +0$ +08 +0> +0K +#23750000000 +1! +1" +1# +1$ +18 +1> +1K +#23750100000 +#23751100000 +#23760000000 +0! +0" +0# +0$ +08 +0> +0K +#23770000000 +1! +1" +1# +1$ +18 +1> +1K +#23770100000 +#23771100000 +#23780000000 +0! +0" +0# +0$ +08 +0> +0K +#23790000000 +1! +1" +1# +1$ +18 +1> +1K +#23790100000 +#23791100000 +#23800000000 +0! +0" +0# +0$ +08 +0> +0K +#23810000000 +1! +1" +1# +1$ +18 +1> +1K +#23810100000 +#23811100000 +#23820000000 +0! +0" +0# +0$ +08 +0> +0K +#23830000000 +1! +1" +1# +1$ +18 +1> +1K +#23830100000 +#23831100000 +#23840000000 +0! +0" +0# +0$ +08 +0> +0K +#23850000000 +1! +1" +1# +1$ +18 +1> +1K +#23850100000 +#23851100000 +#23860000000 +0! +0" +0# +0$ +08 +0> +0K +#23870000000 +1! +1" +1# +1$ +18 +1> +1K +#23870100000 +#23871100000 +#23880000000 +0! +0" +0# +0$ +08 +0> +0K +#23890000000 +1! +1" +1# +1$ +18 +1> +1K +#23890100000 +#23891100000 +#23900000000 +0! +0" +0# +0$ +08 +0> +0K +#23910000000 +1! +1" +1# +1$ +18 +1> +1K +#23910100000 +#23911100000 +#23920000000 +0! +0" +0# +0$ +08 +0> +0K +#23930000000 +1! +1" +1# +1$ +18 +1> +1K +#23930100000 +#23931100000 +#23940000000 +0! +0" +0# +0$ +08 +0> +0K +#23950000000 +1! +1" +1# +1$ +18 +1> +1K +#23950100000 +#23951100000 +#23960000000 +0! +0" +0# +0$ +08 +0> +0K +#23970000000 +1! +1" +1# +1$ +18 +1> +1K +#23970100000 +#23971100000 +#23980000000 +0! +0" +0# +0$ +08 +0> +0K +#23990000000 +1! +1" +1# +1$ +18 +1> +1K +#23990100000 +#23991100000 +#24000000000 +0! +0" +0# +0$ +08 +0> +0K +#24010000000 +1! +1" +1# +1$ +18 +1> +1K +#24010100000 +#24011100000 +#24020000000 +0! +0" +0# +0$ +08 +0> +0K +#24030000000 +1! +1" +1# +1$ +18 +1> +1K +#24030100000 +#24031100000 +#24040000000 +0! +0" +0# +0$ +08 +0> +0K +#24050000000 +1! +1" +1# +1$ +18 +1> +1K +#24050100000 +#24051100000 +#24060000000 +0! +0" +0# +0$ +08 +0> +0K +#24070000000 +1! +1" +1# +1$ +18 +1> +1K +#24070100000 +#24071100000 +#24080000000 +0! +0" +0# +0$ +08 +0> +0K +#24090000000 +1! +1" +1# +1$ +18 +1> +1K +#24090100000 +#24091100000 +#24100000000 +0! +0" +0# +0$ +08 +0> +0K +#24110000000 +1! +1" +1# +1$ +18 +1> +1K +#24110100000 +#24111100000 +#24120000000 +0! +0" +0# +0$ +08 +0> +0K +#24130000000 +1! +1" +1# +1$ +18 +1> +1K +#24130100000 +#24131100000 +#24140000000 +0! +0" +0# +0$ +08 +0> +0K +#24150000000 +1! +1" +1# +1$ +18 +1> +1K +#24150100000 +#24151100000 +#24160000000 +0! +0" +0# +0$ +08 +0> +0K +#24170000000 +1! +1" +1# +1$ +18 +1> +1K +#24170100000 +#24171100000 +#24180000000 +0! +0" +0# +0$ +08 +0> +0K +#24190000000 +1! +1" +1# +1$ +18 +1> +1K +#24190100000 +#24191100000 +#24200000000 +0! +0" +0# +0$ +08 +0> +0K +#24210000000 +1! +1" +1# +1$ +18 +1> +1K +#24210100000 +#24211100000 +#24220000000 +0! +0" +0# +0$ +08 +0> +0K +#24230000000 +1! +1" +1# +1$ +18 +1> +1K +#24230100000 +#24231100000 +#24240000000 +0! +0" +0# +0$ +08 +0> +0K +#24250000000 +1! +1" +1# +1$ +18 +1> +1K +#24250100000 +#24251100000 +#24260000000 +0! +0" +0# +0$ +08 +0> +0K +#24270000000 +1! +1" +1# +1$ +18 +1> +1K +#24270100000 +#24271100000 +#24280000000 +0! +0" +0# +0$ +08 +0> +0K +#24290000000 +1! +1" +1# +1$ +18 +1> +1K +#24290100000 +#24291100000 +#24300000000 +0! +0" +0# +0$ +08 +0> +0K +#24310000000 +1! +1" +1# +1$ +18 +1> +1K +#24310100000 +#24311100000 +#24320000000 +0! +0" +0# +0$ +08 +0> +0K +#24330000000 +1! +1" +1# +1$ +18 +1> +1K +#24330100000 +#24331100000 +#24340000000 +0! +0" +0# +0$ +08 +0> +0K +#24350000000 +1! +1" +1# +1$ +18 +1> +1K +#24350100000 +#24351100000 +#24360000000 +0! +0" +0# +0$ +08 +0> +0K +#24370000000 +1! +1" +1# +1$ +18 +1> +1K +#24370100000 +#24371100000 +#24380000000 +0! +0" +0# +0$ +08 +0> +0K +#24390000000 +1! +1" +1# +1$ +18 +1> +1K +#24390100000 +#24391100000 +#24400000000 +0! +0" +0# +0$ +08 +0> +0K +#24410000000 +1! +1" +1# +1$ +18 +1> +1K +#24410100000 +#24411100000 +#24420000000 +0! +0" +0# +0$ +08 +0> +0K +#24430000000 +1! +1" +1# +1$ +18 +1> +1K +#24430100000 +#24431100000 +#24440000000 +0! +0" +0# +0$ +08 +0> +0K +#24450000000 +1! +1" +1# +1$ +18 +1> +1K +#24450100000 +#24451100000 +#24460000000 +0! +0" +0# +0$ +08 +0> +0K +#24470000000 +1! +1" +1# +1$ +18 +1> +1K +#24470100000 +#24471100000 +#24480000000 +0! +0" +0# +0$ +08 +0> +0K +#24490000000 +1! +1" +1# +1$ +18 +1> +1K +#24490100000 +#24491100000 +#24500000000 +0! +0" +0# +0$ +08 +0> +0K +#24510000000 +1! +1" +1# +1$ +18 +1> +1K +#24510100000 +#24511100000 +#24520000000 +0! +0" +0# +0$ +08 +0> +0K +#24530000000 +1! +1" +1# +1$ +18 +1> +1K +#24530100000 +#24531100000 +#24540000000 +0! +0" +0# +0$ +08 +0> +0K +#24550000000 +1! +1" +1# +1$ +18 +1> +1K +#24550100000 +#24551100000 +#24560000000 +0! +0" +0# +0$ +08 +0> +0K +#24570000000 +1! +1" +1# +1$ +18 +1> +1K +#24570100000 +#24571100000 +#24580000000 +0! +0" +0# +0$ +08 +0> +0K +#24590000000 +1! +1" +1# +1$ +18 +1> +1K +#24590100000 +#24591100000 +#24600000000 +0! +0" +0# +0$ +08 +0> +0K +#24610000000 +1! +1" +1# +1$ +18 +1> +1K +#24610100000 +#24611100000 +#24620000000 +0! +0" +0# +0$ +08 +0> +0K +#24630000000 +1! +1" +1# +1$ +18 +1> +1K +#24630100000 +#24631100000 +#24640000000 +0! +0" +0# +0$ +08 +0> +0K +#24650000000 +1! +1" +1# +1$ +18 +1> +1K +#24650100000 +#24651100000 +#24660000000 +0! +0" +0# +0$ +08 +0> +0K +#24670000000 +1! +1" +1# +1$ +18 +1> +1K +#24670100000 +#24671100000 +#24680000000 +0! +0" +0# +0$ +08 +0> +0K +#24690000000 +1! +1" +1# +1$ +18 +1> +1K +#24690100000 +#24691100000 +#24700000000 +0! +0" +0# +0$ +08 +0> +0K +#24710000000 +1! +1" +1# +1$ +18 +1> +1K +#24710100000 +#24711100000 +#24720000000 +0! +0" +0# +0$ +08 +0> +0K +#24730000000 +1! +1" +1# +1$ +18 +1> +1K +#24730100000 +#24731100000 +#24740000000 +0! +0" +0# +0$ +08 +0> +0K +#24750000000 +1! +1" +1# +1$ +18 +1> +1K +#24750100000 +#24751100000 +#24760000000 +0! +0" +0# +0$ +08 +0> +0K +#24770000000 +1! +1" +1# +1$ +18 +1> +1K +#24770100000 +#24771100000 +#24780000000 +0! +0" +0# +0$ +08 +0> +0K +#24790000000 +1! +1" +1# +1$ +18 +1> +1K +#24790100000 +#24791100000 +#24800000000 +0! +0" +0# +0$ +08 +0> +0K +#24810000000 +1! +1" +1# +1$ +18 +1> +1K +#24810100000 +#24811100000 +#24820000000 +0! +0" +0# +0$ +08 +0> +0K +#24830000000 +1! +1" +1# +1$ +18 +1> +1K +#24830100000 +#24831100000 +#24840000000 +0! +0" +0# +0$ +08 +0> +0K +#24850000000 +1! +1" +1# +1$ +18 +1> +1K +#24850100000 +#24851100000 +#24860000000 +0! +0" +0# +0$ +08 +0> +0K +#24870000000 +1! +1" +1# +1$ +18 +1> +1K +#24870100000 +#24871100000 +#24880000000 +0! +0" +0# +0$ +08 +0> +0K +#24890000000 +1! +1" +1# +1$ +18 +1> +1K +#24890100000 +#24891100000 +#24900000000 +0! +0" +0# +0$ +08 +0> +0K +#24910000000 +1! +1" +1# +1$ +18 +1> +1K +#24910100000 +#24911100000 +#24920000000 +0! +0" +0# +0$ +08 +0> +0K +#24930000000 +1! +1" +1# +1$ +18 +1> +1K +#24930100000 +#24931100000 +#24940000000 +0! +0" +0# +0$ +08 +0> +0K +#24950000000 +1! +1" +1# +1$ +18 +1> +1K +#24950100000 +#24951100000 +#24960000000 +0! +0" +0# +0$ +08 +0> +0K +#24970000000 +1! +1" +1# +1$ +18 +1> +1K +#24970100000 +#24971100000 +#24980000000 +0! +0" +0# +0$ +08 +0> +0K +#24990000000 +1! +1" +1# +1$ +18 +1> +1K +#24990100000 +#24991100000 +#25000000000 +0! +0" +0# +0$ +08 +0> +0K +#25010000000 +1! +1" +1# +1$ +18 +1> +1K +#25010100000 +#25011100000 +#25020000000 +0! +0" +0# +0$ +08 +0> +0K +#25030000000 +1! +1" +1# +1$ +18 +1> +1K +#25030100000 +#25031100000 +#25040000000 +0! +0" +0# +0$ +08 +0> +0K +#25050000000 +1! +1" +1# +1$ +18 +1> +1K +#25050100000 +#25051100000 +#25060000000 +0! +0" +0# +0$ +08 +0> +0K +#25070000000 +1! +1" +1# +1$ +18 +1> +1K +#25070100000 +#25071100000 +#25080000000 +0! +0" +0# +0$ +08 +0> +0K +#25090000000 +1! +1" +1# +1$ +18 +1> +1K +#25090100000 +#25091100000 +#25100000000 +0! +0" +0# +0$ +08 +0> +0K +#25110000000 +1! +1" +1# +1$ +18 +1> +1K +#25110100000 +#25111100000 +#25120000000 +0! +0" +0# +0$ +08 +0> +0K +#25130000000 +1! +1" +1# +1$ +18 +1> +1K +#25130100000 +#25131100000 +#25140000000 +0! +0" +0# +0$ +08 +0> +0K +#25150000000 +1! +1" +1# +1$ +18 +1> +1K +#25150100000 +#25151100000 +#25160000000 +0! +0" +0# +0$ +08 +0> +0K +#25170000000 +1! +1" +1# +1$ +18 +1> +1K +#25170100000 +#25171100000 +#25180000000 +0! +0" +0# +0$ +08 +0> +0K +#25190000000 +1! +1" +1# +1$ +18 +1> +1K +#25190100000 +#25191100000 +#25200000000 +0! +0" +0# +0$ +08 +0> +0K +#25210000000 +1! +1" +1# +1$ +18 +1> +1K +#25210100000 +#25211100000 +#25220000000 +0! +0" +0# +0$ +08 +0> +0K +#25230000000 +1! +1" +1# +1$ +18 +1> +1K +#25230100000 +#25231100000 +#25240000000 +0! +0" +0# +0$ +08 +0> +0K +#25250000000 +1! +1" +1# +1$ +18 +1> +1K +#25250100000 +#25251100000 +#25260000000 +0! +0" +0# +0$ +08 +0> +0K +#25270000000 +1! +1" +1# +1$ +18 +1> +1K +#25270100000 +#25271100000 +#25280000000 +0! +0" +0# +0$ +08 +0> +0K +#25290000000 +1! +1" +1# +1$ +18 +1> +1K +#25290100000 +#25291100000 +#25300000000 +0! +0" +0# +0$ +08 +0> +0K +#25310000000 +1! +1" +1# +1$ +18 +1> +1K +#25310100000 +#25311100000 +#25320000000 +0! +0" +0# +0$ +08 +0> +0K +#25330000000 +1! +1" +1# +1$ +18 +1> +1K +#25330100000 +#25331100000 +#25340000000 +0! +0" +0# +0$ +08 +0> +0K +#25350000000 +1! +1" +1# +1$ +18 +1> +1K +#25350100000 +#25351100000 +#25360000000 +0! +0" +0# +0$ +08 +0> +0K +#25370000000 +1! +1" +1# +1$ +18 +1> +1K +#25370100000 +#25371100000 +#25380000000 +0! +0" +0# +0$ +08 +0> +0K +#25390000000 +1! +1" +1# +1$ +18 +1> +1K +#25390100000 +#25391100000 +#25400000000 +0! +0" +0# +0$ +08 +0> +0K +#25410000000 +1! +1" +1# +1$ +18 +1> +1K +#25410100000 +#25411100000 +#25420000000 +0! +0" +0# +0$ +08 +0> +0K +#25430000000 +1! +1" +1# +1$ +18 +1> +1K +#25430100000 +#25431100000 +#25440000000 +0! +0" +0# +0$ +08 +0> +0K +#25450000000 +1! +1" +1# +1$ +18 +1> +1K +#25450100000 +#25451100000 +#25460000000 +0! +0" +0# +0$ +08 +0> +0K +#25470000000 +1! +1" +1# +1$ +18 +1> +1K +#25470100000 +#25471100000 +#25480000000 +0! +0" +0# +0$ +08 +0> +0K +#25490000000 +1! +1" +1# +1$ +18 +1> +1K +#25490100000 +#25491100000 +#25500000000 +0! +0" +0# +0$ +08 +0> +0K +#25510000000 +1! +1" +1# +1$ +18 +1> +1K +#25510100000 +#25511100000 +#25520000000 +0! +0" +0# +0$ +08 +0> +0K +#25530000000 +1! +1" +1# +1$ +18 +1> +1K +#25530100000 +#25531100000 +#25540000000 +0! +0" +0# +0$ +08 +0> +0K +#25550000000 +1! +1" +1# +1$ +18 +1> +1K +#25550100000 +#25551100000 +#25560000000 +0! +0" +0# +0$ +08 +0> +0K +#25570000000 +1! +1" +1# +1$ +18 +1> +1K +#25570100000 +#25571100000 +#25580000000 +0! +0" +0# +0$ +08 +0> +0K +#25590000000 +1! +1" +1# +1$ +18 +1> +1K +#25590100000 +#25591100000 +#25600000000 +0! +0" +0# +0$ +08 +0> +0K +#25610000000 +1! +1" +1# +1$ +18 +1> +1K +#25610100000 +#25611100000 +#25620000000 +0! +0" +0# +0$ +08 +0> +0K +#25630000000 +1! +1" +1# +1$ +18 +1> +1K +#25630100000 +#25631100000 +#25640000000 +0! +0" +0# +0$ +08 +0> +0K +#25650000000 +1! +1" +1# +1$ +18 +1> +1K +#25650100000 +#25651100000 +#25660000000 +0! +0" +0# +0$ +08 +0> +0K +#25670000000 +1! +1" +1# +1$ +18 +1> +1K +#25670100000 +#25671100000 +#25680000000 +0! +0" +0# +0$ +08 +0> +0K +#25690000000 +1! +1" +1# +1$ +18 +1> +1K +#25690100000 +#25691100000 +#25700000000 +0! +0" +0# +0$ +08 +0> +0K +#25710000000 +1! +1" +1# +1$ +18 +1> +1K +#25710100000 +#25711100000 +#25720000000 +0! +0" +0# +0$ +08 +0> +0K +#25730000000 +1! +1" +1# +1$ +18 +1> +1K +#25730100000 +#25731100000 +#25740000000 +0! +0" +0# +0$ +08 +0> +0K +#25750000000 +1! +1" +1# +1$ +18 +1> +1K +#25750100000 +#25751100000 +#25760000000 +0! +0" +0# +0$ +08 +0> +0K +#25770000000 +1! +1" +1# +1$ +18 +1> +1K +#25770100000 +#25771100000 +#25780000000 +0! +0" +0# +0$ +08 +0> +0K +#25790000000 +1! +1" +1# +1$ +18 +1> +1K +#25790100000 +#25791100000 +#25800000000 +0! +0" +0# +0$ +08 +0> +0K +#25810000000 +1! +1" +1# +1$ +18 +1> +1K +#25810100000 +#25811100000 +#25820000000 +0! +0" +0# +0$ +08 +0> +0K +#25830000000 +1! +1" +1# +1$ +18 +1> +1K +#25830100000 +#25831100000 +#25840000000 +0! +0" +0# +0$ +08 +0> +0K +#25850000000 +1! +1" +1# +1$ +18 +1> +1K +#25850100000 +#25851100000 +#25860000000 +0! +0" +0# +0$ +08 +0> +0K +#25870000000 +1! +1" +1# +1$ +18 +1> +1K +#25870100000 +#25871100000 +#25880000000 +0! +0" +0# +0$ +08 +0> +0K +#25890000000 +1! +1" +1# +1$ +18 +1> +1K +#25890100000 +#25891100000 +#25900000000 +0! +0" +0# +0$ +08 +0> +0K +#25910000000 +1! +1" +1# +1$ +18 +1> +1K +#25910100000 +#25911100000 +#25920000000 +0! +0" +0# +0$ +08 +0> +0K +#25930000000 +1! +1" +1# +1$ +18 +1> +1K +#25930100000 +#25931100000 +#25940000000 +0! +0" +0# +0$ +08 +0> +0K +#25950000000 +1! +1" +1# +1$ +18 +1> +1K +#25950100000 +#25951100000 +#25960000000 +0! +0" +0# +0$ +08 +0> +0K +#25970000000 +1! +1" +1# +1$ +18 +1> +1K +#25970100000 +#25971100000 +#25980000000 +0! +0" +0# +0$ +08 +0> +0K +#25990000000 +1! +1" +1# +1$ +18 +1> +1K +#25990100000 +#25991100000 +#26000000000 +0! +0" +0# +0$ +08 +0> +0K +#26010000000 +1! +1" +1# +1$ +18 +1> +1K +#26010100000 +#26011100000 +#26020000000 +0! +0" +0# +0$ +08 +0> +0K +#26030000000 +1! +1" +1# +1$ +18 +1> +1K +#26030100000 +#26031100000 +#26040000000 +0! +0" +0# +0$ +08 +0> +0K +#26050000000 +1! +1" +1# +1$ +18 +1> +1K +#26050100000 +#26051100000 +#26060000000 +0! +0" +0# +0$ +08 +0> +0K +#26070000000 +1! +1" +1# +1$ +18 +1> +1K +#26070100000 +#26071100000 +#26080000000 +0! +0" +0# +0$ +08 +0> +0K +#26090000000 +1! +1" +1# +1$ +18 +1> +1K +#26090100000 +#26091100000 +#26100000000 +0! +0" +0# +0$ +08 +0> +0K +#26110000000 +1! +1" +1# +1$ +18 +1> +1K +#26110100000 +#26111100000 +#26120000000 +0! +0" +0# +0$ +08 +0> +0K +#26130000000 +1! +1" +1# +1$ +18 +1> +1K +#26130100000 +#26131100000 +#26140000000 +0! +0" +0# +0$ +08 +0> +0K +#26150000000 +1! +1" +1# +1$ +18 +1> +1K +#26150100000 +#26151100000 +#26160000000 +0! +0" +0# +0$ +08 +0> +0K +#26170000000 +1! +1" +1# +1$ +18 +1> +1K +#26170100000 +#26171100000 +#26180000000 +0! +0" +0# +0$ +08 +0> +0K +#26190000000 +1! +1" +1# +1$ +18 +1> +1K +#26190100000 +#26191100000 +#26200000000 +0! +0" +0# +0$ +08 +0> +0K +#26210000000 +1! +1" +1# +1$ +18 +1> +1K +#26210100000 +#26211100000 +#26220000000 +0! +0" +0# +0$ +08 +0> +0K +#26230000000 +1! +1" +1# +1$ +18 +1> +1K +#26230100000 +#26231100000 +#26240000000 +0! +0" +0# +0$ +08 +0> +0K +#26250000000 +1! +1" +1# +1$ +18 +1> +1K +#26250100000 +#26251100000 +#26260000000 +0! +0" +0# +0$ +08 +0> +0K +#26270000000 +1! +1" +1# +1$ +18 +1> +1K +#26270100000 +#26271100000 +#26280000000 +0! +0" +0# +0$ +08 +0> +0K +#26290000000 +1! +1" +1# +1$ +18 +1> +1K +#26290100000 +#26291100000 +#26300000000 +0! +0" +0# +0$ +08 +0> +0K +#26310000000 +1! +1" +1# +1$ +18 +1> +1K +#26310100000 +#26311100000 +#26320000000 +0! +0" +0# +0$ +08 +0> +0K +#26330000000 +1! +1" +1# +1$ +18 +1> +1K +#26330100000 +#26331100000 +#26340000000 +0! +0" +0# +0$ +08 +0> +0K +#26350000000 +1! +1" +1# +1$ +18 +1> +1K +#26350100000 +#26351100000 +#26360000000 +0! +0" +0# +0$ +08 +0> +0K +#26370000000 +1! +1" +1# +1$ +18 +1> +1K +#26370100000 +#26371100000 +#26380000000 +0! +0" +0# +0$ +08 +0> +0K +#26390000000 +1! +1" +1# +1$ +18 +1> +1K +#26390100000 +#26391100000 +#26400000000 +0! +0" +0# +0$ +08 +0> +0K +#26410000000 +1! +1" +1# +1$ +18 +1> +1K +#26410100000 +#26411100000 +#26420000000 +0! +0" +0# +0$ +08 +0> +0K +#26430000000 +1! +1" +1# +1$ +18 +1> +1K +#26430100000 +#26431100000 +#26440000000 +0! +0" +0# +0$ +08 +0> +0K +#26450000000 +1! +1" +1# +1$ +18 +1> +1K +#26450100000 +#26451100000 +#26460000000 +0! +0" +0# +0$ +08 +0> +0K +#26470000000 +1! +1" +1# +1$ +18 +1> +1K +#26470100000 +#26471100000 +#26480000000 +0! +0" +0# +0$ +08 +0> +0K +#26490000000 +1! +1" +1# +1$ +18 +1> +1K +#26490100000 +#26491100000 +#26500000000 +0! +0" +0# +0$ +08 +0> +0K +#26510000000 +1! +1" +1# +1$ +18 +1> +1K +#26510100000 +#26511100000 +#26520000000 +0! +0" +0# +0$ +08 +0> +0K +#26530000000 +1! +1" +1# +1$ +18 +1> +1K +#26530100000 +#26531100000 +#26540000000 +0! +0" +0# +0$ +08 +0> +0K +#26550000000 +1! +1" +1# +1$ +18 +1> +1K +#26550100000 +#26551100000 +#26560000000 +0! +0" +0# +0$ +08 +0> +0K +#26570000000 +1! +1" +1# +1$ +18 +1> +1K +#26570100000 +#26571100000 +#26580000000 +0! +0" +0# +0$ +08 +0> +0K +#26590000000 +1! +1" +1# +1$ +18 +1> +1K +#26590100000 +#26591100000 +#26600000000 +0! +0" +0# +0$ +08 +0> +0K +#26610000000 +1! +1" +1# +1$ +18 +1> +1K +#26610100000 +#26611100000 +#26620000000 +0! +0" +0# +0$ +08 +0> +0K +#26630000000 +1! +1" +1# +1$ +18 +1> +1K +#26630100000 +#26631100000 +#26640000000 +0! +0" +0# +0$ +08 +0> +0K +#26650000000 +1! +1" +1# +1$ +18 +1> +1K +#26650100000 +#26651100000 +#26660000000 +0! +0" +0# +0$ +08 +0> +0K +#26670000000 +1! +1" +1# +1$ +18 +1> +1K +#26670100000 +#26671100000 +#26680000000 +0! +0" +0# +0$ +08 +0> +0K +#26690000000 +1! +1" +1# +1$ +18 +1> +1K +#26690100000 +#26691100000 +#26700000000 +0! +0" +0# +0$ +08 +0> +0K +#26710000000 +1! +1" +1# +1$ +18 +1> +1K +#26710100000 +#26711100000 +#26720000000 +0! +0" +0# +0$ +08 +0> +0K +#26730000000 +1! +1" +1# +1$ +18 +1> +1K +#26730100000 +#26731100000 +#26740000000 +0! +0" +0# +0$ +08 +0> +0K +#26750000000 +1! +1" +1# +1$ +18 +1> +1K +#26750100000 +#26751100000 +#26760000000 +0! +0" +0# +0$ +08 +0> +0K +#26770000000 +1! +1" +1# +1$ +18 +1> +1K +#26770100000 +#26771100000 +#26780000000 +0! +0" +0# +0$ +08 +0> +0K +#26790000000 +1! +1" +1# +1$ +18 +1> +1K +#26790100000 +#26791100000 +#26800000000 +0! +0" +0# +0$ +08 +0> +0K +#26810000000 +1! +1" +1# +1$ +18 +1> +1K +#26810100000 +#26811100000 +#26820000000 +0! +0" +0# +0$ +08 +0> +0K +#26830000000 +1! +1" +1# +1$ +18 +1> +1K +#26830100000 +#26831100000 +#26840000000 +0! +0" +0# +0$ +08 +0> +0K +#26850000000 +1! +1" +1# +1$ +18 +1> +1K +#26850100000 +#26851100000 +#26860000000 +0! +0" +0# +0$ +08 +0> +0K +#26870000000 +1! +1" +1# +1$ +18 +1> +1K +#26870100000 +#26871100000 +#26880000000 +0! +0" +0# +0$ +08 +0> +0K +#26890000000 +1! +1" +1# +1$ +18 +1> +1K +#26890100000 +#26891100000 +#26900000000 +0! +0" +0# +0$ +08 +0> +0K +#26910000000 +1! +1" +1# +1$ +18 +1> +1K +#26910100000 +#26911100000 +#26920000000 +0! +0" +0# +0$ +08 +0> +0K +#26930000000 +1! +1" +1# +1$ +18 +1> +1K +#26930100000 +#26931100000 +#26940000000 +0! +0" +0# +0$ +08 +0> +0K +#26950000000 +1! +1" +1# +1$ +18 +1> +1K +#26950100000 +#26951100000 +#26960000000 +0! +0" +0# +0$ +08 +0> +0K +#26970000000 +1! +1" +1# +1$ +18 +1> +1K +#26970100000 +#26971100000 +#26980000000 +0! +0" +0# +0$ +08 +0> +0K +#26990000000 +1! +1" +1# +1$ +18 +1> +1K +#26990100000 +#26991100000 +#27000000000 +0! +0" +0# +0$ +08 +0> +0K +#27010000000 +1! +1" +1# +1$ +18 +1> +1K +#27010100000 +#27011100000 +#27020000000 +0! +0" +0# +0$ +08 +0> +0K +#27030000000 +1! +1" +1# +1$ +18 +1> +1K +#27030100000 +#27031100000 +#27040000000 +0! +0" +0# +0$ +08 +0> +0K +#27050000000 +1! +1" +1# +1$ +18 +1> +1K +#27050100000 +#27051100000 +#27060000000 +0! +0" +0# +0$ +08 +0> +0K +#27070000000 +1! +1" +1# +1$ +18 +1> +1K +#27070100000 +#27071100000 +#27080000000 +0! +0" +0# +0$ +08 +0> +0K +#27090000000 +1! +1" +1# +1$ +18 +1> +1K +#27090100000 +#27091100000 +#27100000000 +0! +0" +0# +0$ +08 +0> +0K +#27110000000 +1! +1" +1# +1$ +18 +1> +1K +#27110100000 +#27111100000 +#27120000000 +0! +0" +0# +0$ +08 +0> +0K +#27130000000 +1! +1" +1# +1$ +18 +1> +1K +#27130100000 +#27131100000 +#27140000000 +0! +0" +0# +0$ +08 +0> +0K +#27150000000 +1! +1" +1# +1$ +18 +1> +1K +#27150100000 +#27151100000 +#27160000000 +0! +0" +0# +0$ +08 +0> +0K +#27170000000 +1! +1" +1# +1$ +18 +1> +1K +#27170100000 +#27171100000 +#27180000000 +0! +0" +0# +0$ +08 +0> +0K +#27190000000 +1! +1" +1# +1$ +18 +1> +1K +#27190100000 +#27191100000 +#27200000000 +0! +0" +0# +0$ +08 +0> +0K +#27210000000 +1! +1" +1# +1$ +18 +1> +1K +#27210100000 +#27211100000 +#27220000000 +0! +0" +0# +0$ +08 +0> +0K +#27230000000 +1! +1" +1# +1$ +18 +1> +1K +#27230100000 +#27231100000 +#27240000000 +0! +0" +0# +0$ +08 +0> +0K +#27250000000 +1! +1" +1# +1$ +18 +1> +1K +#27250100000 +#27251100000 +#27260000000 +0! +0" +0# +0$ +08 +0> +0K +#27270000000 +1! +1" +1# +1$ +18 +1> +1K +#27270100000 +#27271100000 +#27280000000 +0! +0" +0# +0$ +08 +0> +0K +#27290000000 +1! +1" +1# +1$ +18 +1> +1K +#27290100000 +#27291100000 +#27300000000 +0! +0" +0# +0$ +08 +0> +0K +#27310000000 +1! +1" +1# +1$ +18 +1> +1K +#27310100000 +#27311100000 +#27320000000 +0! +0" +0# +0$ +08 +0> +0K +#27330000000 +1! +1" +1# +1$ +18 +1> +1K +#27330100000 +#27331100000 +#27340000000 +0! +0" +0# +0$ +08 +0> +0K +#27350000000 +1! +1" +1# +1$ +18 +1> +1K +#27350100000 +#27351100000 +#27360000000 +0! +0" +0# +0$ +08 +0> +0K +#27370000000 +1! +1" +1# +1$ +18 +1> +1K +#27370100000 +#27371100000 +#27380000000 +0! +0" +0# +0$ +08 +0> +0K +#27390000000 +1! +1" +1# +1$ +18 +1> +1K +#27390100000 +#27391100000 +#27400000000 +0! +0" +0# +0$ +08 +0> +0K +#27410000000 +1! +1" +1# +1$ +18 +1> +1K +#27410100000 +#27411100000 +#27420000000 +0! +0" +0# +0$ +08 +0> +0K +#27430000000 +1! +1" +1# +1$ +18 +1> +1K +#27430100000 +#27431100000 +#27440000000 +0! +0" +0# +0$ +08 +0> +0K +#27450000000 +1! +1" +1# +1$ +18 +1> +1K +#27450100000 +#27451100000 +#27460000000 +0! +0" +0# +0$ +08 +0> +0K +#27470000000 +1! +1" +1# +1$ +18 +1> +1K +#27470100000 +#27471100000 +#27480000000 +0! +0" +0# +0$ +08 +0> +0K +#27490000000 +1! +1" +1# +1$ +18 +1> +1K +#27490100000 +#27491100000 +#27500000000 +0! +0" +0# +0$ +08 +0> +0K +#27510000000 +1! +1" +1# +1$ +18 +1> +1K +#27510100000 +#27511100000 +#27520000000 +0! +0" +0# +0$ +08 +0> +0K +#27530000000 +1! +1" +1# +1$ +18 +1> +1K +#27530100000 +#27531100000 +#27540000000 +0! +0" +0# +0$ +08 +0> +0K +#27550000000 +1! +1" +1# +1$ +18 +1> +1K +#27550100000 +#27551100000 +#27560000000 +0! +0" +0# +0$ +08 +0> +0K +#27570000000 +1! +1" +1# +1$ +18 +1> +1K +#27570100000 +#27571100000 +#27580000000 +0! +0" +0# +0$ +08 +0> +0K +#27590000000 +1! +1" +1# +1$ +18 +1> +1K +#27590100000 +#27591100000 +#27600000000 +0! +0" +0# +0$ +08 +0> +0K +#27610000000 +1! +1" +1# +1$ +18 +1> +1K +#27610100000 +#27611100000 +#27620000000 +0! +0" +0# +0$ +08 +0> +0K +#27630000000 +1! +1" +1# +1$ +18 +1> +1K +#27630100000 +#27631100000 +#27640000000 +0! +0" +0# +0$ +08 +0> +0K +#27650000000 +1! +1" +1# +1$ +18 +1> +1K +#27650100000 +#27651100000 +#27660000000 +0! +0" +0# +0$ +08 +0> +0K +#27670000000 +1! +1" +1# +1$ +18 +1> +1K +#27670100000 +#27671100000 +#27680000000 +0! +0" +0# +0$ +08 +0> +0K +#27690000000 +1! +1" +1# +1$ +18 +1> +1K +#27690100000 +#27691100000 +#27700000000 +0! +0" +0# +0$ +08 +0> +0K +#27710000000 +1! +1" +1# +1$ +18 +1> +1K +#27710100000 +#27711100000 +#27720000000 +0! +0" +0# +0$ +08 +0> +0K +#27730000000 +1! +1" +1# +1$ +18 +1> +1K +#27730100000 +#27731100000 +#27740000000 +0! +0" +0# +0$ +08 +0> +0K +#27750000000 +1! +1" +1# +1$ +18 +1> +1K +#27750100000 +#27751100000 +#27760000000 +0! +0" +0# +0$ +08 +0> +0K +#27770000000 +1! +1" +1# +1$ +18 +1> +1K +#27770100000 +#27771100000 +#27780000000 +0! +0" +0# +0$ +08 +0> +0K +#27790000000 +1! +1" +1# +1$ +18 +1> +1K +#27790100000 +#27791100000 +#27800000000 +0! +0" +0# +0$ +08 +0> +0K +#27810000000 +1! +1" +1# +1$ +18 +1> +1K +#27810100000 +#27811100000 +#27820000000 +0! +0" +0# +0$ +08 +0> +0K +#27830000000 +1! +1" +1# +1$ +18 +1> +1K +#27830100000 +#27831100000 +#27840000000 +0! +0" +0# +0$ +08 +0> +0K +#27850000000 +1! +1" +1# +1$ +18 +1> +1K +#27850100000 +#27851100000 +#27860000000 +0! +0" +0# +0$ +08 +0> +0K +#27870000000 +1! +1" +1# +1$ +18 +1> +1K +#27870100000 +#27871100000 +#27880000000 +0! +0" +0# +0$ +08 +0> +0K +#27890000000 +1! +1" +1# +1$ +18 +1> +1K +#27890100000 +#27891100000 +#27900000000 +0! +0" +0# +0$ +08 +0> +0K +#27910000000 +1! +1" +1# +1$ +18 +1> +1K +#27910100000 +#27911100000 +#27920000000 +0! +0" +0# +0$ +08 +0> +0K +#27930000000 +1! +1" +1# +1$ +18 +1> +1K +#27930100000 +#27931100000 +#27940000000 +0! +0" +0# +0$ +08 +0> +0K +#27950000000 +1! +1" +1# +1$ +18 +1> +1K +#27950100000 +#27951100000 +#27960000000 +0! +0" +0# +0$ +08 +0> +0K +#27970000000 +1! +1" +1# +1$ +18 +1> +1K +#27970100000 +#27971100000 +#27980000000 +0! +0" +0# +0$ +08 +0> +0K +#27990000000 +1! +1" +1# +1$ +18 +1> +1K +#27990100000 +#27991100000 +#28000000000 +0! +0" +0# +0$ +08 +0> +0K +#28010000000 +1! +1" +1# +1$ +18 +1> +1K +#28010100000 +#28011100000 +#28020000000 +0! +0" +0# +0$ +08 +0> +0K +#28030000000 +1! +1" +1# +1$ +18 +1> +1K +#28030100000 +#28031100000 +#28040000000 +0! +0" +0# +0$ +08 +0> +0K +#28050000000 +1! +1" +1# +1$ +18 +1> +1K +#28050100000 +#28051100000 +#28060000000 +0! +0" +0# +0$ +08 +0> +0K +#28070000000 +1! +1" +1# +1$ +18 +1> +1K +#28070100000 +#28071100000 +#28080000000 +0! +0" +0# +0$ +08 +0> +0K +#28090000000 +1! +1" +1# +1$ +18 +1> +1K +#28090100000 +#28091100000 +#28100000000 +0! +0" +0# +0$ +08 +0> +0K +#28110000000 +1! +1" +1# +1$ +18 +1> +1K +#28110100000 +#28111100000 +#28120000000 +0! +0" +0# +0$ +08 +0> +0K +#28130000000 +1! +1" +1# +1$ +18 +1> +1K +#28130100000 +#28131100000 +#28140000000 +0! +0" +0# +0$ +08 +0> +0K +#28150000000 +1! +1" +1# +1$ +18 +1> +1K +#28150100000 +#28151100000 +#28160000000 +0! +0" +0# +0$ +08 +0> +0K +#28170000000 +1! +1" +1# +1$ +18 +1> +1K +#28170100000 +#28171100000 +#28180000000 +0! +0" +0# +0$ +08 +0> +0K +#28190000000 +1! +1" +1# +1$ +18 +1> +1K +#28190100000 +#28191100000 +#28200000000 +0! +0" +0# +0$ +08 +0> +0K +#28210000000 +1! +1" +1# +1$ +18 +1> +1K +#28210100000 +#28211100000 +#28220000000 +0! +0" +0# +0$ +08 +0> +0K +#28230000000 +1! +1" +1# +1$ +18 +1> +1K +#28230100000 +#28231100000 +#28240000000 +0! +0" +0# +0$ +08 +0> +0K +#28250000000 +1! +1" +1# +1$ +18 +1> +1K +#28250100000 +#28251100000 +#28260000000 +0! +0" +0# +0$ +08 +0> +0K +#28270000000 +1! +1" +1# +1$ +18 +1> +1K +#28270100000 +#28271100000 +#28280000000 +0! +0" +0# +0$ +08 +0> +0K +#28290000000 +1! +1" +1# +1$ +18 +1> +1K +#28290100000 +#28291100000 +#28300000000 +0! +0" +0# +0$ +08 +0> +0K +#28310000000 +1! +1" +1# +1$ +18 +1> +1K +#28310100000 +#28311100000 +#28320000000 +0! +0" +0# +0$ +08 +0> +0K +#28330000000 +1! +1" +1# +1$ +18 +1> +1K +#28330100000 +#28331100000 +#28340000000 +0! +0" +0# +0$ +08 +0> +0K +#28350000000 +1! +1" +1# +1$ +18 +1> +1K +#28350100000 +#28351100000 +#28360000000 +0! +0" +0# +0$ +08 +0> +0K +#28370000000 +1! +1" +1# +1$ +18 +1> +1K +#28370100000 +#28371100000 +#28380000000 +0! +0" +0# +0$ +08 +0> +0K +#28390000000 +1! +1" +1# +1$ +18 +1> +1K +#28390100000 +#28391100000 +#28400000000 +0! +0" +0# +0$ +08 +0> +0K +#28410000000 +1! +1" +1# +1$ +18 +1> +1K +#28410100000 +#28411100000 +#28420000000 +0! +0" +0# +0$ +08 +0> +0K +#28430000000 +1! +1" +1# +1$ +18 +1> +1K +#28430100000 +#28431100000 +#28440000000 +0! +0" +0# +0$ +08 +0> +0K +#28450000000 +1! +1" +1# +1$ +18 +1> +1K +#28450100000 +#28451100000 +#28460000000 +0! +0" +0# +0$ +08 +0> +0K +#28470000000 +1! +1" +1# +1$ +18 +1> +1K +#28470100000 +#28471100000 +#28480000000 +0! +0" +0# +0$ +08 +0> +0K +#28490000000 +1! +1" +1# +1$ +18 +1> +1K +#28490100000 +#28491100000 +#28500000000 +0! +0" +0# +0$ +08 +0> +0K +#28510000000 +1! +1" +1# +1$ +18 +1> +1K +#28510100000 +#28511100000 +#28520000000 +0! +0" +0# +0$ +08 +0> +0K +#28530000000 +1! +1" +1# +1$ +18 +1> +1K +#28530100000 +#28531100000 +#28540000000 +0! +0" +0# +0$ +08 +0> +0K +#28550000000 +1! +1" +1# +1$ +18 +1> +1K +#28550100000 +#28551100000 +#28560000000 +0! +0" +0# +0$ +08 +0> +0K +#28570000000 +1! +1" +1# +1$ +18 +1> +1K +#28570100000 +#28571100000 +#28580000000 +0! +0" +0# +0$ +08 +0> +0K +#28590000000 +1! +1" +1# +1$ +18 +1> +1K +#28590100000 +#28591100000 +#28600000000 +0! +0" +0# +0$ +08 +0> +0K +#28610000000 +1! +1" +1# +1$ +18 +1> +1K +#28610100000 +#28611100000 +#28620000000 +0! +0" +0# +0$ +08 +0> +0K +#28630000000 +1! +1" +1# +1$ +18 +1> +1K +#28630100000 +#28631100000 +#28640000000 +0! +0" +0# +0$ +08 +0> +0K +#28650000000 +1! +1" +1# +1$ +18 +1> +1K +#28650100000 +#28651100000 +#28660000000 +0! +0" +0# +0$ +08 +0> +0K +#28670000000 +1! +1" +1# +1$ +18 +1> +1K +#28670100000 +#28671100000 +#28680000000 +0! +0" +0# +0$ +08 +0> +0K +#28690000000 +1! +1" +1# +1$ +18 +1> +1K +#28690100000 +#28691100000 +#28700000000 +0! +0" +0# +0$ +08 +0> +0K +#28710000000 +1! +1" +1# +1$ +18 +1> +1K +#28710100000 +#28711100000 +#28720000000 +0! +0" +0# +0$ +08 +0> +0K +#28730000000 +1! +1" +1# +1$ +18 +1> +1K +#28730100000 +#28731100000 +#28740000000 +0! +0" +0# +0$ +08 +0> +0K +#28750000000 +1! +1" +1# +1$ +18 +1> +1K +#28750100000 +#28751100000 +#28760000000 +0! +0" +0# +0$ +08 +0> +0K +#28770000000 +1! +1" +1# +1$ +18 +1> +1K +#28770100000 +#28771100000 +#28780000000 +0! +0" +0# +0$ +08 +0> +0K +#28790000000 +1! +1" +1# +1$ +18 +1> +1K +#28790100000 +#28791100000 +#28800000000 +0! +0" +0# +0$ +08 +0> +0K +#28810000000 +1! +1" +1# +1$ +18 +1> +1K +#28810100000 +#28811100000 +#28820000000 +0! +0" +0# +0$ +08 +0> +0K +#28830000000 +1! +1" +1# +1$ +18 +1> +1K +#28830100000 +#28831100000 +#28840000000 +0! +0" +0# +0$ +08 +0> +0K +#28850000000 +1! +1" +1# +1$ +18 +1> +1K +#28850100000 +#28851100000 +#28860000000 +0! +0" +0# +0$ +08 +0> +0K +#28870000000 +1! +1" +1# +1$ +18 +1> +1K +#28870100000 +#28871100000 +#28880000000 +0! +0" +0# +0$ +08 +0> +0K +#28890000000 +1! +1" +1# +1$ +18 +1> +1K +#28890100000 +#28891100000 +#28900000000 +0! +0" +0# +0$ +08 +0> +0K +#28910000000 +1! +1" +1# +1$ +18 +1> +1K +#28910100000 +#28911100000 +#28920000000 +0! +0" +0# +0$ +08 +0> +0K +#28930000000 +1! +1" +1# +1$ +18 +1> +1K +#28930100000 +#28931100000 +#28940000000 +0! +0" +0# +0$ +08 +0> +0K +#28950000000 +1! +1" +1# +1$ +18 +1> +1K +#28950100000 +#28951100000 +#28960000000 +0! +0" +0# +0$ +08 +0> +0K +#28970000000 +1! +1" +1# +1$ +18 +1> +1K +#28970100000 +#28971100000 +#28980000000 +0! +0" +0# +0$ +08 +0> +0K +#28990000000 +1! +1" +1# +1$ +18 +1> +1K +#28990100000 +#28991100000 +#29000000000 +0! +0" +0# +0$ +08 +0> +0K +#29010000000 +1! +1" +1# +1$ +18 +1> +1K +#29010100000 +#29011100000 +#29020000000 +0! +0" +0# +0$ +08 +0> +0K +#29030000000 +1! +1" +1# +1$ +18 +1> +1K +#29030100000 +#29031100000 +#29040000000 +0! +0" +0# +0$ +08 +0> +0K +#29050000000 +1! +1" +1# +1$ +18 +1> +1K +#29050100000 +#29051100000 +#29060000000 +0! +0" +0# +0$ +08 +0> +0K +#29070000000 +1! +1" +1# +1$ +18 +1> +1K +#29070100000 +#29071100000 +#29080000000 +0! +0" +0# +0$ +08 +0> +0K +#29090000000 +1! +1" +1# +1$ +18 +1> +1K +#29090100000 +#29091100000 +#29100000000 +0! +0" +0# +0$ +08 +0> +0K +#29110000000 +1! +1" +1# +1$ +18 +1> +1K +#29110100000 +#29111100000 +#29120000000 +0! +0" +0# +0$ +08 +0> +0K +#29130000000 +1! +1" +1# +1$ +18 +1> +1K +#29130100000 +#29131100000 +#29140000000 +0! +0" +0# +0$ +08 +0> +0K +#29150000000 +1! +1" +1# +1$ +18 +1> +1K +#29150100000 +#29151100000 +#29160000000 +0! +0" +0# +0$ +08 +0> +0K +#29170000000 +1! +1" +1# +1$ +18 +1> +1K +#29170100000 +#29171100000 +#29180000000 +0! +0" +0# +0$ +08 +0> +0K +#29190000000 +1! +1" +1# +1$ +18 +1> +1K +#29190100000 +#29191100000 +#29200000000 +0! +0" +0# +0$ +08 +0> +0K +#29210000000 +1! +1" +1# +1$ +18 +1> +1K +#29210100000 +#29211100000 +#29220000000 +0! +0" +0# +0$ +08 +0> +0K +#29230000000 +1! +1" +1# +1$ +18 +1> +1K +#29230100000 +#29231100000 +#29240000000 +0! +0" +0# +0$ +08 +0> +0K +#29250000000 +1! +1" +1# +1$ +18 +1> +1K +#29250100000 +#29251100000 +#29260000000 +0! +0" +0# +0$ +08 +0> +0K +#29270000000 +1! +1" +1# +1$ +18 +1> +1K +#29270100000 +#29271100000 +#29280000000 +0! +0" +0# +0$ +08 +0> +0K +#29290000000 +1! +1" +1# +1$ +18 +1> +1K +#29290100000 +#29291100000 +#29300000000 +0! +0" +0# +0$ +08 +0> +0K +#29310000000 +1! +1" +1# +1$ +18 +1> +1K +#29310100000 +#29311100000 +#29320000000 +0! +0" +0# +0$ +08 +0> +0K +#29330000000 +1! +1" +1# +1$ +18 +1> +1K +#29330100000 +#29331100000 +#29340000000 +0! +0" +0# +0$ +08 +0> +0K +#29350000000 +1! +1" +1# +1$ +18 +1> +1K +#29350100000 +#29351100000 +#29360000000 +0! +0" +0# +0$ +08 +0> +0K +#29370000000 +1! +1" +1# +1$ +18 +1> +1K +#29370100000 +#29371100000 +#29380000000 +0! +0" +0# +0$ +08 +0> +0K +#29390000000 +1! +1" +1# +1$ +18 +1> +1K +#29390100000 +#29391100000 +#29400000000 +0! +0" +0# +0$ +08 +0> +0K +#29410000000 +1! +1" +1# +1$ +18 +1> +1K +#29410100000 +#29411100000 +#29420000000 +0! +0" +0# +0$ +08 +0> +0K +#29430000000 +1! +1" +1# +1$ +18 +1> +1K +#29430100000 +#29431100000 +#29440000000 +0! +0" +0# +0$ +08 +0> +0K +#29450000000 +1! +1" +1# +1$ +18 +1> +1K +#29450100000 +#29451100000 +#29460000000 +0! +0" +0# +0$ +08 +0> +0K +#29470000000 +1! +1" +1# +1$ +18 +1> +1K +#29470100000 +#29471100000 +#29480000000 +0! +0" +0# +0$ +08 +0> +0K +#29490000000 +1! +1" +1# +1$ +18 +1> +1K +#29490100000 +#29491100000 +#29500000000 +0! +0" +0# +0$ +08 +0> +0K +#29510000000 +1! +1" +1# +1$ +18 +1> +1K +#29510100000 +#29511100000 +#29520000000 +0! +0" +0# +0$ +08 +0> +0K +#29530000000 +1! +1" +1# +1$ +18 +1> +1K +#29530100000 +#29531100000 +#29540000000 +0! +0" +0# +0$ +08 +0> +0K +#29550000000 +1! +1" +1# +1$ +18 +1> +1K +#29550100000 +#29551100000 +#29560000000 +0! +0" +0# +0$ +08 +0> +0K +#29570000000 +1! +1" +1# +1$ +18 +1> +1K +#29570100000 +#29571100000 +#29580000000 +0! +0" +0# +0$ +08 +0> +0K +#29590000000 +1! +1" +1# +1$ +18 +1> +1K +#29590100000 +#29591100000 +#29600000000 +0! +0" +0# +0$ +08 +0> +0K +#29610000000 +1! +1" +1# +1$ +18 +1> +1K +#29610100000 +#29611100000 +#29620000000 +0! +0" +0# +0$ +08 +0> +0K +#29630000000 +1! +1" +1# +1$ +18 +1> +1K +#29630100000 +#29631100000 +#29640000000 +0! +0" +0# +0$ +08 +0> +0K +#29650000000 +1! +1" +1# +1$ +18 +1> +1K +#29650100000 +#29651100000 +#29660000000 +0! +0" +0# +0$ +08 +0> +0K +#29670000000 +1! +1" +1# +1$ +18 +1> +1K +#29670100000 +#29671100000 +#29680000000 +0! +0" +0# +0$ +08 +0> +0K +#29690000000 +1! +1" +1# +1$ +18 +1> +1K +#29690100000 +#29691100000 +#29700000000 +0! +0" +0# +0$ +08 +0> +0K +#29710000000 +1! +1" +1# +1$ +18 +1> +1K +#29710100000 +#29711100000 +#29720000000 +0! +0" +0# +0$ +08 +0> +0K +#29730000000 +1! +1" +1# +1$ +18 +1> +1K +#29730100000 +#29731100000 +#29740000000 +0! +0" +0# +0$ +08 +0> +0K +#29750000000 +1! +1" +1# +1$ +18 +1> +1K +#29750100000 +#29751100000 +#29760000000 +0! +0" +0# +0$ +08 +0> +0K +#29770000000 +1! +1" +1# +1$ +18 +1> +1K +#29770100000 +#29771100000 +#29780000000 +0! +0" +0# +0$ +08 +0> +0K +#29790000000 +1! +1" +1# +1$ +18 +1> +1K +#29790100000 +#29791100000 +#29800000000 +0! +0" +0# +0$ +08 +0> +0K +#29810000000 +1! +1" +1# +1$ +18 +1> +1K +#29810100000 +#29811100000 +#29820000000 +0! +0" +0# +0$ +08 +0> +0K +#29830000000 +1! +1" +1# +1$ +18 +1> +1K +#29830100000 +#29831100000 +#29840000000 +0! +0" +0# +0$ +08 +0> +0K +#29850000000 +1! +1" +1# +1$ +18 +1> +1K +#29850100000 +#29851100000 +#29860000000 +0! +0" +0# +0$ +08 +0> +0K +#29870000000 +1! +1" +1# +1$ +18 +1> +1K +#29870100000 +#29871100000 +#29880000000 +0! +0" +0# +0$ +08 +0> +0K +#29890000000 +1! +1" +1# +1$ +18 +1> +1K +#29890100000 +#29891100000 +#29900000000 +0! +0" +0# +0$ +08 +0> +0K +#29910000000 +1! +1" +1# +1$ +18 +1> +1K +#29910100000 +#29911100000 +#29920000000 +0! +0" +0# +0$ +08 +0> +0K +#29930000000 +1! +1" +1# +1$ +18 +1> +1K +#29930100000 +#29931100000 +#29940000000 +0! +0" +0# +0$ +08 +0> +0K +#29950000000 +1! +1" +1# +1$ +18 +1> +1K +#29950100000 +#29951100000 +#29960000000 +0! +0" +0# +0$ +08 +0> +0K +#29970000000 +1! +1" +1# +1$ +18 +1> +1K +#29970100000 +#29971100000 +#29980000000 +0! +0" +0# +0$ +08 +0> +0K +#29990000000 +1! +1" +1# +1$ +18 +1> +1K +#29990100000 +#29991100000 +#30000000000 +0! +0" +0# +0$ +08 +0> +0K +#30010000000 +1! +1" +1# +1$ +18 +1> +1K +#30010100000 +#30011100000 +#30020000000 +0! +0" +0# +0$ +08 +0> +0K +#30030000000 +1! +1" +1# +1$ +18 +1> +1K +#30030100000 +#30031100000 +#30040000000 +0! +0" +0# +0$ +08 +0> +0K +#30050000000 +1! +1" +1# +1$ +18 +1> +1K +#30050100000 +#30051100000 +#30060000000 +0! +0" +0# +0$ +08 +0> +0K +#30070000000 +1! +1" +1# +1$ +18 +1> +1K +#30070100000 +#30071100000 +#30080000000 +0! +0" +0# +0$ +08 +0> +0K +#30090000000 +1! +1" +1# +1$ +18 +1> +1K +#30090100000 +#30091100000 +#30100000000 +0! +0" +0# +0$ +08 +0> +0K +#30110000000 +1! +1" +1# +1$ +18 +1> +1K +#30110100000 +#30111100000 +#30120000000 +0! +0" +0# +0$ +08 +0> +0K +#30130000000 +1! +1" +1# +1$ +18 +1> +1K +#30130100000 +#30131100000 +#30140000000 +0! +0" +0# +0$ +08 +0> +0K +#30150000000 +1! +1" +1# +1$ +18 +1> +1K +#30150100000 +#30151100000 +#30160000000 +0! +0" +0# +0$ +08 +0> +0K +#30170000000 +1! +1" +1# +1$ +18 +1> +1K +#30170100000 +#30171100000 +#30180000000 +0! +0" +0# +0$ +08 +0> +0K +#30190000000 +1! +1" +1# +1$ +18 +1> +1K +#30190100000 +#30191100000 +#30200000000 +0! +0" +0# +0$ +08 +0> +0K +#30210000000 +1! +1" +1# +1$ +18 +1> +1K +#30210100000 +#30211100000 +#30220000000 +0! +0" +0# +0$ +08 +0> +0K +#30230000000 +1! +1" +1# +1$ +18 +1> +1K +#30230100000 +#30231100000 +#30240000000 +0! +0" +0# +0$ +08 +0> +0K +#30250000000 +1! +1" +1# +1$ +18 +1> +1K +#30250100000 +#30251100000 +#30260000000 +0! +0" +0# +0$ +08 +0> +0K +#30270000000 +1! +1" +1# +1$ +18 +1> +1K +#30270100000 +#30271100000 +#30280000000 +0! +0" +0# +0$ +08 +0> +0K +#30290000000 +1! +1" +1# +1$ +18 +1> +1K +#30290100000 +#30291100000 +#30300000000 +0! +0" +0# +0$ +08 +0> +0K +#30310000000 +1! +1" +1# +1$ +18 +1> +1K +#30310100000 +#30311100000 +#30320000000 +0! +0" +0# +0$ +08 +0> +0K +#30330000000 +1! +1" +1# +1$ +18 +1> +1K +#30330100000 +#30331100000 +#30340000000 +0! +0" +0# +0$ +08 +0> +0K +#30350000000 +1! +1" +1# +1$ +18 +1> +1K +#30350100000 +#30351100000 +#30360000000 +0! +0" +0# +0$ +08 +0> +0K +#30370000000 +1! +1" +1# +1$ +18 +1> +1K +#30370100000 +#30371100000 +#30380000000 +0! +0" +0# +0$ +08 +0> +0K +#30390000000 +1! +1" +1# +1$ +18 +1> +1K +#30390100000 +#30391100000 +#30400000000 +0! +0" +0# +0$ +08 +0> +0K +#30410000000 +1! +1" +1# +1$ +18 +1> +1K +#30410100000 +#30411100000 +#30420000000 +0! +0" +0# +0$ +08 +0> +0K +#30430000000 +1! +1" +1# +1$ +18 +1> +1K +#30430100000 +#30431100000 +#30440000000 +0! +0" +0# +0$ +08 +0> +0K +#30450000000 +1! +1" +1# +1$ +18 +1> +1K +#30450100000 +#30451100000 +#30460000000 +0! +0" +0# +0$ +08 +0> +0K +#30470000000 +1! +1" +1# +1$ +18 +1> +1K +#30470100000 +#30471100000 +#30480000000 +0! +0" +0# +0$ +08 +0> +0K +#30490000000 +1! +1" +1# +1$ +18 +1> +1K +#30490100000 +#30491100000 +#30500000000 +0! +0" +0# +0$ +08 +0> +0K +#30510000000 +1! +1" +1# +1$ +18 +1> +1K +#30510100000 +#30511100000 +#30520000000 +0! +0" +0# +0$ +08 +0> +0K +#30530000000 +1! +1" +1# +1$ +18 +1> +1K +#30530100000 +#30531100000 +#30540000000 +0! +0" +0# +0$ +08 +0> +0K +#30550000000 +1! +1" +1# +1$ +18 +1> +1K +#30550100000 +#30551100000 +#30560000000 +0! +0" +0# +0$ +08 +0> +0K +#30570000000 +1! +1" +1# +1$ +18 +1> +1K +#30570100000 +#30571100000 +#30580000000 +0! +0" +0# +0$ +08 +0> +0K +#30590000000 +1! +1" +1# +1$ +18 +1> +1K +#30590100000 +#30591100000 +#30600000000 +0! +0" +0# +0$ +08 +0> +0K +#30610000000 +1! +1" +1# +1$ +18 +1> +1K +#30610100000 +#30611100000 +#30620000000 +0! +0" +0# +0$ +08 +0> +0K +#30630000000 +1! +1" +1# +1$ +18 +1> +1K +#30630100000 +#30631100000 +#30640000000 +0! +0" +0# +0$ +08 +0> +0K +#30650000000 +1! +1" +1# +1$ +18 +1> +1K +#30650100000 +#30651100000 +#30660000000 +0! +0" +0# +0$ +08 +0> +0K +#30670000000 +1! +1" +1# +1$ +18 +1> +1K +#30670100000 +#30671100000 +#30680000000 +0! +0" +0# +0$ +08 +0> +0K +#30690000000 +1! +1" +1# +1$ +18 +1> +1K +#30690100000 +#30691100000 +#30700000000 +0! +0" +0# +0$ +08 +0> +0K +#30710000000 +1! +1" +1# +1$ +18 +1> +1K +#30710100000 +#30711100000 +#30720000000 +0! +0" +0# +0$ +08 +0> +0K +#30730000000 +1! +1" +1# +1$ +18 +1> +1K +#30730100000 +#30731100000 +#30740000000 +0! +0" +0# +0$ +08 +0> +0K +#30750000000 +1! +1" +1# +1$ +18 +1> +1K +#30750100000 +#30751100000 +#30760000000 +0! +0" +0# +0$ +08 +0> +0K +#30770000000 +1! +1" +1# +1$ +18 +1> +1K +#30770100000 +#30771100000 +#30780000000 +0! +0" +0# +0$ +08 +0> +0K +#30790000000 +1! +1" +1# +1$ +18 +1> +1K +#30790100000 +#30791100000 +#30800000000 +0! +0" +0# +0$ +08 +0> +0K +#30810000000 +1! +1" +1# +1$ +18 +1> +1K +#30810100000 +#30811100000 +#30820000000 +0! +0" +0# +0$ +08 +0> +0K +#30830000000 +1! +1" +1# +1$ +18 +1> +1K +#30830100000 +#30831100000 +#30840000000 +0! +0" +0# +0$ +08 +0> +0K +#30850000000 +1! +1" +1# +1$ +18 +1> +1K +#30850100000 +#30851100000 +#30860000000 +0! +0" +0# +0$ +08 +0> +0K +#30870000000 +1! +1" +1# +1$ +18 +1> +1K +#30870100000 +#30871100000 +#30880000000 +0! +0" +0# +0$ +08 +0> +0K +#30890000000 +1! +1" +1# +1$ +18 +1> +1K +#30890100000 +#30891100000 +#30900000000 +0! +0" +0# +0$ +08 +0> +0K +#30910000000 +1! +1" +1# +1$ +18 +1> +1K +#30910100000 +#30911100000 +#30920000000 +0! +0" +0# +0$ +08 +0> +0K +#30930000000 +1! +1" +1# +1$ +18 +1> +1K +#30930100000 +#30931100000 +#30940000000 +0! +0" +0# +0$ +08 +0> +0K +#30950000000 +1! +1" +1# +1$ +18 +1> +1K +#30950100000 +#30951100000 +#30960000000 +0! +0" +0# +0$ +08 +0> +0K +#30970000000 +1! +1" +1# +1$ +18 +1> +1K +#30970100000 +#30971100000 +#30980000000 +0! +0" +0# +0$ +08 +0> +0K +#30990000000 +1! +1" +1# +1$ +18 +1> +1K +#30990100000 +#30991100000 +#31000000000 +0! +0" +0# +0$ +08 +0> +0K +#31010000000 +1! +1" +1# +1$ +18 +1> +1K +#31010100000 +#31011100000 +#31020000000 +0! +0" +0# +0$ +08 +0> +0K +#31030000000 +1! +1" +1# +1$ +18 +1> +1K +#31030100000 +#31031100000 +#31040000000 +0! +0" +0# +0$ +08 +0> +0K +#31050000000 +1! +1" +1# +1$ +18 +1> +1K +#31050100000 +#31051100000 +#31060000000 +0! +0" +0# +0$ +08 +0> +0K +#31070000000 +1! +1" +1# +1$ +18 +1> +1K +#31070100000 +#31071100000 +#31080000000 +0! +0" +0# +0$ +08 +0> +0K +#31090000000 +1! +1" +1# +1$ +18 +1> +1K +#31090100000 +#31091100000 +#31100000000 +0! +0" +0# +0$ +08 +0> +0K +#31110000000 +1! +1" +1# +1$ +18 +1> +1K +#31110100000 +#31111100000 +#31120000000 +0! +0" +0# +0$ +08 +0> +0K +#31130000000 +1! +1" +1# +1$ +18 +1> +1K +#31130100000 +#31131100000 +#31140000000 +0! +0" +0# +0$ +08 +0> +0K +#31150000000 +1! +1" +1# +1$ +18 +1> +1K +#31150100000 +#31151100000 +#31160000000 +0! +0" +0# +0$ +08 +0> +0K +#31170000000 +1! +1" +1# +1$ +18 +1> +1K +#31170100000 +#31171100000 +#31180000000 +0! +0" +0# +0$ +08 +0> +0K +#31190000000 +1! +1" +1# +1$ +18 +1> +1K +#31190100000 +#31191100000 +#31200000000 +0! +0" +0# +0$ +08 +0> +0K +#31210000000 +1! +1" +1# +1$ +18 +1> +1K +#31210100000 +#31211100000 +#31220000000 +0! +0" +0# +0$ +08 +0> +0K +#31230000000 +1! +1" +1# +1$ +18 +1> +1K +#31230100000 +#31231100000 +#31240000000 +0! +0" +0# +0$ +08 +0> +0K +#31250000000 +1! +1" +1# +1$ +18 +1> +1K +#31250100000 +#31251100000 +#31260000000 +0! +0" +0# +0$ +08 +0> +0K +#31270000000 +1! +1" +1# +1$ +18 +1> +1K +#31270100000 +#31271100000 +#31280000000 +0! +0" +0# +0$ +08 +0> +0K +#31290000000 +1! +1" +1# +1$ +18 +1> +1K +#31290100000 +#31291100000 +#31300000000 +0! +0" +0# +0$ +08 +0> +0K +#31310000000 +1! +1" +1# +1$ +18 +1> +1K +#31310100000 +#31311100000 +#31320000000 +0! +0" +0# +0$ +08 +0> +0K +#31330000000 +1! +1" +1# +1$ +18 +1> +1K +#31330100000 +#31331100000 +#31340000000 +0! +0" +0# +0$ +08 +0> +0K +#31350000000 +1! +1" +1# +1$ +18 +1> +1K +#31350100000 +#31351100000 +#31360000000 +0! +0" +0# +0$ +08 +0> +0K +#31370000000 +1! +1" +1# +1$ +18 +1> +1K +#31370100000 +#31371100000 +#31380000000 +0! +0" +0# +0$ +08 +0> +0K +#31390000000 +1! +1" +1# +1$ +18 +1> +1K +#31390100000 +#31391100000 +#31400000000 +0! +0" +0# +0$ +08 +0> +0K +#31410000000 +1! +1" +1# +1$ +18 +1> +1K +#31410100000 +#31411100000 +#31420000000 +0! +0" +0# +0$ +08 +0> +0K +#31430000000 +1! +1" +1# +1$ +18 +1> +1K +#31430100000 +#31431100000 +#31440000000 +0! +0" +0# +0$ +08 +0> +0K +#31450000000 +1! +1" +1# +1$ +18 +1> +1K +#31450100000 +#31451100000 +#31460000000 +0! +0" +0# +0$ +08 +0> +0K +#31470000000 +1! +1" +1# +1$ +18 +1> +1K +#31470100000 +#31471100000 +#31480000000 +0! +0" +0# +0$ +08 +0> +0K +#31490000000 +1! +1" +1# +1$ +18 +1> +1K +#31490100000 +#31491100000 +#31500000000 +0! +0" +0# +0$ +08 +0> +0K +#31510000000 +1! +1" +1# +1$ +18 +1> +1K +#31510100000 +#31511100000 +#31520000000 +0! +0" +0# +0$ +08 +0> +0K +#31530000000 +1! +1" +1# +1$ +18 +1> +1K +#31530100000 +#31531100000 +#31540000000 +0! +0" +0# +0$ +08 +0> +0K +#31550000000 +1! +1" +1# +1$ +18 +1> +1K +#31550100000 +#31551100000 +#31560000000 +0! +0" +0# +0$ +08 +0> +0K +#31570000000 +1! +1" +1# +1$ +18 +1> +1K +#31570100000 +#31571100000 +#31580000000 +0! +0" +0# +0$ +08 +0> +0K +#31590000000 +1! +1" +1# +1$ +18 +1> +1K +#31590100000 +#31591100000 +#31600000000 +0! +0" +0# +0$ +08 +0> +0K +#31610000000 +1! +1" +1# +1$ +18 +1> +1K +#31610100000 +#31611100000 +#31620000000 +0! +0" +0# +0$ +08 +0> +0K +#31630000000 +1! +1" +1# +1$ +18 +1> +1K +#31630100000 +#31631100000 +#31640000000 +0! +0" +0# +0$ +08 +0> +0K +#31650000000 +1! +1" +1# +1$ +18 +1> +1K +#31650100000 +#31651100000 +#31660000000 +0! +0" +0# +0$ +08 +0> +0K +#31670000000 +1! +1" +1# +1$ +18 +1> +1K +#31670100000 +#31671100000 +#31680000000 +0! +0" +0# +0$ +08 +0> +0K +#31690000000 +1! +1" +1# +1$ +18 +1> +1K +#31690100000 +#31691100000 +#31700000000 +0! +0" +0# +0$ +08 +0> +0K +#31710000000 +1! +1" +1# +1$ +18 +1> +1K +#31710100000 +#31711100000 +#31720000000 +0! +0" +0# +0$ +08 +0> +0K +#31730000000 +1! +1" +1# +1$ +18 +1> +1K +#31730100000 +#31731100000 +#31740000000 +0! +0" +0# +0$ +08 +0> +0K +#31750000000 +1! +1" +1# +1$ +18 +1> +1K +#31750100000 +#31751100000 +#31760000000 +0! +0" +0# +0$ +08 +0> +0K +#31770000000 +1! +1" +1# +1$ +18 +1> +1K +#31770100000 +#31771100000 +#31780000000 +0! +0" +0# +0$ +08 +0> +0K +#31790000000 +1! +1" +1# +1$ +18 +1> +1K +#31790100000 +#31791100000 +#31800000000 +0! +0" +0# +0$ +08 +0> +0K +#31810000000 +1! +1" +1# +1$ +18 +1> +1K +#31810100000 +#31811100000 +#31820000000 +0! +0" +0# +0$ +08 +0> +0K +#31830000000 +1! +1" +1# +1$ +18 +1> +1K +#31830100000 +#31831100000 +#31840000000 +0! +0" +0# +0$ +08 +0> +0K +#31850000000 +1! +1" +1# +1$ +18 +1> +1K +#31850100000 +#31851100000 +#31860000000 +0! +0" +0# +0$ +08 +0> +0K +#31870000000 +1! +1" +1# +1$ +18 +1> +1K +#31870100000 +#31871100000 +#31880000000 +0! +0" +0# +0$ +08 +0> +0K +#31890000000 +1! +1" +1# +1$ +18 +1> +1K +#31890100000 +#31891100000 +#31900000000 +0! +0" +0# +0$ +08 +0> +0K +#31910000000 +1! +1" +1# +1$ +18 +1> +1K +#31910100000 +#31911100000 +#31920000000 +0! +0" +0# +0$ +08 +0> +0K +#31930000000 +1! +1" +1# +1$ +18 +1> +1K +#31930100000 +#31931100000 +#31940000000 +0! +0" +0# +0$ +08 +0> +0K +#31950000000 +1! +1" +1# +1$ +18 +1> +1K +#31950100000 +#31951100000 +#31960000000 +0! +0" +0# +0$ +08 +0> +0K +#31970000000 +1! +1" +1# +1$ +18 +1> +1K +#31970100000 +#31971100000 +#31980000000 +0! +0" +0# +0$ +08 +0> +0K +#31990000000 +1! +1" +1# +1$ +18 +1> +1K +#31990100000 +#31991100000 +#32000000000 +0! +0" +0# +0$ +08 +0> +0K +#32010000000 +1! +1" +1# +1$ +18 +1> +1K +#32010100000 +#32011100000 +#32020000000 +0! +0" +0# +0$ +08 +0> +0K +#32030000000 +1! +1" +1# +1$ +18 +1> +1K +#32030100000 +#32031100000 +#32040000000 +0! +0" +0# +0$ +08 +0> +0K +#32050000000 +1! +1" +1# +1$ +18 +1> +1K +#32050100000 +#32051100000 +#32060000000 +0! +0" +0# +0$ +08 +0> +0K +#32070000000 +1! +1" +1# +1$ +18 +1> +1K +#32070100000 +#32071100000 +#32080000000 +0! +0" +0# +0$ +08 +0> +0K +#32090000000 +1! +1" +1# +1$ +18 +1> +1K +#32090100000 +#32091100000 +#32100000000 +0! +0" +0# +0$ +08 +0> +0K +#32110000000 +1! +1" +1# +1$ +18 +1> +1K +#32110100000 +#32111100000 +#32120000000 +0! +0" +0# +0$ +08 +0> +0K +#32130000000 +1! +1" +1# +1$ +18 +1> +1K +#32130100000 +#32131100000 +#32140000000 +0! +0" +0# +0$ +08 +0> +0K +#32150000000 +1! +1" +1# +1$ +18 +1> +1K +#32150100000 +#32151100000 +#32160000000 +0! +0" +0# +0$ +08 +0> +0K +#32170000000 +1! +1" +1# +1$ +18 +1> +1K +#32170100000 +#32171100000 +#32180000000 +0! +0" +0# +0$ +08 +0> +0K +#32190000000 +1! +1" +1# +1$ +18 +1> +1K +#32190100000 +#32191100000 +#32200000000 +0! +0" +0# +0$ +08 +0> +0K +#32210000000 +1! +1" +1# +1$ +18 +1> +1K +#32210100000 +#32211100000 +#32220000000 +0! +0" +0# +0$ +08 +0> +0K +#32230000000 +1! +1" +1# +1$ +18 +1> +1K +#32230100000 +#32231100000 +#32240000000 +0! +0" +0# +0$ +08 +0> +0K +#32250000000 +1! +1" +1# +1$ +18 +1> +1K +#32250100000 +#32251100000 +#32260000000 +0! +0" +0# +0$ +08 +0> +0K +#32270000000 +1! +1" +1# +1$ +18 +1> +1K +#32270100000 +#32271100000 +#32280000000 +0! +0" +0# +0$ +08 +0> +0K +#32290000000 +1! +1" +1# +1$ +18 +1> +1K +#32290100000 +#32291100000 +#32300000000 +0! +0" +0# +0$ +08 +0> +0K +#32310000000 +1! +1" +1# +1$ +18 +1> +1K +#32310100000 +#32311100000 +#32320000000 +0! +0" +0# +0$ +08 +0> +0K +#32330000000 +1! +1" +1# +1$ +18 +1> +1K +#32330100000 +#32331100000 +#32340000000 +0! +0" +0# +0$ +08 +0> +0K +#32350000000 +1! +1" +1# +1$ +18 +1> +1K +#32350100000 +#32351100000 +#32360000000 +0! +0" +0# +0$ +08 +0> +0K +#32370000000 +1! +1" +1# +1$ +18 +1> +1K +#32370100000 +#32371100000 +#32380000000 +0! +0" +0# +0$ +08 +0> +0K +#32390000000 +1! +1" +1# +1$ +18 +1> +1K +#32390100000 +#32391100000 +#32400000000 +0! +0" +0# +0$ +08 +0> +0K +#32410000000 +1! +1" +1# +1$ +18 +1> +1K +#32410100000 +#32411100000 +#32420000000 +0! +0" +0# +0$ +08 +0> +0K +#32430000000 +1! +1" +1# +1$ +18 +1> +1K +#32430100000 +#32431100000 +#32440000000 +0! +0" +0# +0$ +08 +0> +0K +#32450000000 +1! +1" +1# +1$ +18 +1> +1K +#32450100000 +#32451100000 +#32460000000 +0! +0" +0# +0$ +08 +0> +0K +#32470000000 +1! +1" +1# +1$ +18 +1> +1K +#32470100000 +#32471100000 +#32480000000 +0! +0" +0# +0$ +08 +0> +0K +#32490000000 +1! +1" +1# +1$ +18 +1> +1K +#32490100000 +#32491100000 +#32500000000 +0! +0" +0# +0$ +08 +0> +0K +#32510000000 +1! +1" +1# +1$ +18 +1> +1K +#32510100000 +#32511100000 +#32520000000 +0! +0" +0# +0$ +08 +0> +0K +#32530000000 +1! +1" +1# +1$ +18 +1> +1K +#32530100000 +#32531100000 +#32540000000 +0! +0" +0# +0$ +08 +0> +0K +#32550000000 +1! +1" +1# +1$ +18 +1> +1K +#32550100000 +#32551100000 +#32560000000 +0! +0" +0# +0$ +08 +0> +0K +#32570000000 +1! +1" +1# +1$ +18 +1> +1K +#32570100000 +#32571100000 +#32580000000 +0! +0" +0# +0$ +08 +0> +0K +#32590000000 +1! +1" +1# +1$ +18 +1> +1K +#32590100000 +#32591100000 +#32600000000 +0! +0" +0# +0$ +08 +0> +0K +#32610000000 +1! +1" +1# +1$ +18 +1> +1K +#32610100000 +#32611100000 +#32620000000 +0! +0" +0# +0$ +08 +0> +0K +#32630000000 +1! +1" +1# +1$ +18 +1> +1K +#32630100000 +#32631100000 +#32640000000 +0! +0" +0# +0$ +08 +0> +0K +#32650000000 +1! +1" +1# +1$ +18 +1> +1K +#32650100000 +#32651100000 +#32660000000 +0! +0" +0# +0$ +08 +0> +0K +#32670000000 +1! +1" +1# +1$ +18 +1> +1K +#32670100000 +#32671100000 +#32680000000 +0! +0" +0# +0$ +08 +0> +0K +#32690000000 +1! +1" +1# +1$ +18 +1> +1K +#32690100000 +#32691100000 +#32700000000 +0! +0" +0# +0$ +08 +0> +0K +#32710000000 +1! +1" +1# +1$ +18 +1> +1K +#32710100000 +#32711100000 +#32720000000 +0! +0" +0# +0$ +08 +0> +0K +#32730000000 +1! +1" +1# +1$ +18 +1> +1K +#32730100000 +#32731100000 +#32740000000 +0! +0" +0# +0$ +08 +0> +0K +#32750000000 +1! +1" +1# +1$ +18 +1> +1K +#32750100000 +#32751100000 +#32760000000 +0! +0" +0# +0$ +08 +0> +0K +#32770000000 +1! +1" +1# +1$ +18 +1> +1K +#32770100000 +#32771100000 +#32780000000 +0! +0" +0# +0$ +08 +0> +0K +#32790000000 +1! +1" +1# +1$ +18 +1> +1K +#32790100000 +#32791100000 +#32800000000 +0! +0" +0# +0$ +08 +0> +0K +#32810000000 +1! +1" +1# +1$ +18 +1> +1K +#32810100000 +#32811100000 +#32820000000 +0! +0" +0# +0$ +08 +0> +0K +#32830000000 +1! +1" +1# +1$ +18 +1> +1K +#32830100000 +#32831100000 +#32840000000 +0! +0" +0# +0$ +08 +0> +0K +#32850000000 +1! +1" +1# +1$ +18 +1> +1K +#32850100000 +#32851100000 +#32860000000 +0! +0" +0# +0$ +08 +0> +0K +#32870000000 +1! +1" +1# +1$ +18 +1> +1K +#32870100000 +#32871100000 +#32880000000 +0! +0" +0# +0$ +08 +0> +0K +#32890000000 +1! +1" +1# +1$ +18 +1> +1K +#32890100000 +#32891100000 +#32900000000 +0! +0" +0# +0$ +08 +0> +0K +#32910000000 +1! +1" +1# +1$ +18 +1> +1K +#32910100000 +#32911100000 +#32920000000 +0! +0" +0# +0$ +08 +0> +0K +#32930000000 +1! +1" +1# +1$ +18 +1> +1K +#32930100000 +#32931100000 +#32940000000 +0! +0" +0# +0$ +08 +0> +0K +#32950000000 +1! +1" +1# +1$ +18 +1> +1K +#32950100000 +#32951100000 +#32960000000 +0! +0" +0# +0$ +08 +0> +0K +#32970000000 +1! +1" +1# +1$ +18 +1> +1K +#32970100000 +#32971100000 +#32980000000 +0! +0" +0# +0$ +08 +0> +0K +#32990000000 +1! +1" +1# +1$ +18 +1> +1K +#32990100000 +#32991100000 +#33000000000 +0! +0" +0# +0$ +08 +0> +0K +#33010000000 +1! +1" +1# +1$ +18 +1> +1K +#33010100000 +#33011100000 +#33020000000 +0! +0" +0# +0$ +08 +0> +0K +#33030000000 +1! +1" +1# +1$ +18 +1> +1K +#33030100000 +#33031100000 +#33040000000 +0! +0" +0# +0$ +08 +0> +0K +#33050000000 +1! +1" +1# +1$ +18 +1> +1K +#33050100000 +#33051100000 +#33060000000 +0! +0" +0# +0$ +08 +0> +0K +#33070000000 +1! +1" +1# +1$ +18 +1> +1K +#33070100000 +#33071100000 +#33080000000 +0! +0" +0# +0$ +08 +0> +0K +#33090000000 +1! +1" +1# +1$ +18 +1> +1K +#33090100000 +#33091100000 +#33100000000 +0! +0" +0# +0$ +08 +0> +0K +#33110000000 +1! +1" +1# +1$ +18 +1> +1K +#33110100000 +#33111100000 +#33120000000 +0! +0" +0# +0$ +08 +0> +0K +#33130000000 +1! +1" +1# +1$ +18 +1> +1K +#33130100000 +#33131100000 +#33140000000 +0! +0" +0# +0$ +08 +0> +0K +#33150000000 +1! +1" +1# +1$ +18 +1> +1K +#33150100000 +#33151100000 +#33160000000 +0! +0" +0# +0$ +08 +0> +0K +#33170000000 +1! +1" +1# +1$ +18 +1> +1K +#33170100000 +#33171100000 +#33180000000 +0! +0" +0# +0$ +08 +0> +0K +#33190000000 +1! +1" +1# +1$ +18 +1> +1K +#33190100000 +#33191100000 +#33200000000 +0! +0" +0# +0$ +08 +0> +0K +#33210000000 +1! +1" +1# +1$ +18 +1> +1K +#33210100000 +#33211100000 +#33220000000 +0! +0" +0# +0$ +08 +0> +0K +#33230000000 +1! +1" +1# +1$ +18 +1> +1K +#33230100000 +#33231100000 +#33240000000 +0! +0" +0# +0$ +08 +0> +0K +#33250000000 +1! +1" +1# +1$ +18 +1> +1K +#33250100000 +#33251100000 +#33260000000 +0! +0" +0# +0$ +08 +0> +0K +#33270000000 +1! +1" +1# +1$ +18 +1> +1K +#33270100000 +#33271100000 +#33280000000 +0! +0" +0# +0$ +08 +0> +0K +#33290000000 +1! +1" +1# +1$ +18 +1> +1K +#33290100000 +#33291100000 +#33300000000 +0! +0" +0# +0$ +08 +0> +0K +#33310000000 +1! +1" +1# +1$ +18 +1> +1K +#33310100000 +#33311100000 +#33320000000 +0! +0" +0# +0$ +08 +0> +0K +#33330000000 +1! +1" +1# +1$ +18 +1> +1K +#33330100000 +#33331100000 +#33340000000 +0! +0" +0# +0$ +08 +0> +0K +#33350000000 +1! +1" +1# +1$ +18 +1> +1K +#33350100000 +#33351100000 +#33360000000 +0! +0" +0# +0$ +08 +0> +0K +#33370000000 +1! +1" +1# +1$ +18 +1> +1K +#33370100000 +#33371100000 +#33380000000 +0! +0" +0# +0$ +08 +0> +0K +#33390000000 +1! +1" +1# +1$ +18 +1> +1K +#33390100000 +#33391100000 +#33400000000 +0! +0" +0# +0$ +08 +0> +0K +#33410000000 +1! +1" +1# +1$ +18 +1> +1K +#33410100000 +#33411100000 +#33420000000 +0! +0" +0# +0$ +08 +0> +0K +#33430000000 +1! +1" +1# +1$ +18 +1> +1K +#33430100000 +#33431100000 +#33440000000 +0! +0" +0# +0$ +08 +0> +0K +#33450000000 +1! +1" +1# +1$ +18 +1> +1K +#33450100000 +#33451100000 +#33460000000 +0! +0" +0# +0$ +08 +0> +0K +#33470000000 +1! +1" +1# +1$ +18 +1> +1K +#33470100000 +#33471100000 +#33480000000 +0! +0" +0# +0$ +08 +0> +0K +#33490000000 +1! +1" +1# +1$ +18 +1> +1K +#33490100000 +#33491100000 +#33500000000 +0! +0" +0# +0$ +08 +0> +0K +#33510000000 +1! +1" +1# +1$ +18 +1> +1K +#33510100000 +#33511100000 +#33520000000 +0! +0" +0# +0$ +08 +0> +0K +#33530000000 +1! +1" +1# +1$ +18 +1> +1K +#33530100000 +#33531100000 +#33540000000 +0! +0" +0# +0$ +08 +0> +0K +#33550000000 +1! +1" +1# +1$ +18 +1> +1K +#33550100000 +#33551100000 +#33560000000 +0! +0" +0# +0$ +08 +0> +0K +#33570000000 +1! +1" +1# +1$ +18 +1> +1K +#33570100000 +#33571100000 +#33580000000 +0! +0" +0# +0$ +08 +0> +0K +#33590000000 +1! +1" +1# +1$ +18 +1> +1K +#33590100000 +#33591100000 +#33600000000 +0! +0" +0# +0$ +08 +0> +0K +#33610000000 +1! +1" +1# +1$ +18 +1> +1K +#33610100000 +#33611100000 +#33620000000 +0! +0" +0# +0$ +08 +0> +0K +#33630000000 +1! +1" +1# +1$ +18 +1> +1K +#33630100000 +#33631100000 +#33640000000 +0! +0" +0# +0$ +08 +0> +0K +#33650000000 +1! +1" +1# +1$ +18 +1> +1K +#33650100000 +#33651100000 +#33660000000 +0! +0" +0# +0$ +08 +0> +0K +#33670000000 +1! +1" +1# +1$ +18 +1> +1K +#33670100000 +#33671100000 +#33680000000 +0! +0" +0# +0$ +08 +0> +0K +#33690000000 +1! +1" +1# +1$ +18 +1> +1K +#33690100000 +#33691100000 +#33700000000 +0! +0" +0# +0$ +08 +0> +0K +#33710000000 +1! +1" +1# +1$ +18 +1> +1K +#33710100000 +#33711100000 +#33720000000 +0! +0" +0# +0$ +08 +0> +0K +#33730000000 +1! +1" +1# +1$ +18 +1> +1K +#33730100000 +#33731100000 +#33740000000 +0! +0" +0# +0$ +08 +0> +0K +#33750000000 +1! +1" +1# +1$ +18 +1> +1K +#33750100000 +#33751100000 +#33760000000 +0! +0" +0# +0$ +08 +0> +0K +#33770000000 +1! +1" +1# +1$ +18 +1> +1K +#33770100000 +#33771100000 +#33780000000 +0! +0" +0# +0$ +08 +0> +0K +#33790000000 +1! +1" +1# +1$ +18 +1> +1K +#33790100000 +#33791100000 +#33800000000 +0! +0" +0# +0$ +08 +0> +0K +#33810000000 +1! +1" +1# +1$ +18 +1> +1K +#33810100000 +#33811100000 +#33820000000 +0! +0" +0# +0$ +08 +0> +0K +#33830000000 +1! +1" +1# +1$ +18 +1> +1K +#33830100000 +#33831100000 +#33840000000 +0! +0" +0# +0$ +08 +0> +0K +#33850000000 +1! +1" +1# +1$ +18 +1> +1K +#33850100000 +#33851100000 +#33860000000 +0! +0" +0# +0$ +08 +0> +0K +#33870000000 +1! +1" +1# +1$ +18 +1> +1K +#33870100000 +#33871100000 +#33880000000 +0! +0" +0# +0$ +08 +0> +0K +#33890000000 +1! +1" +1# +1$ +18 +1> +1K +#33890100000 +#33891100000 +#33900000000 +0! +0" +0# +0$ +08 +0> +0K +#33910000000 +1! +1" +1# +1$ +18 +1> +1K +#33910100000 +#33911100000 +#33920000000 +0! +0" +0# +0$ +08 +0> +0K +#33930000000 +1! +1" +1# +1$ +18 +1> +1K +#33930100000 +#33931100000 +#33940000000 +0! +0" +0# +0$ +08 +0> +0K +#33950000000 +1! +1" +1# +1$ +18 +1> +1K +#33950100000 +#33951100000 +#33960000000 +0! +0" +0# +0$ +08 +0> +0K +#33970000000 +1! +1" +1# +1$ +18 +1> +1K +#33970100000 +#33971100000 +#33980000000 +0! +0" +0# +0$ +08 +0> +0K +#33990000000 +1! +1" +1# +1$ +18 +1> +1K +#33990100000 +#33991100000 +#34000000000 +0! +0" +0# +0$ +08 +0> +0K +#34010000000 +1! +1" +1# +1$ +18 +1> +1K +#34010100000 +#34011100000 +#34020000000 +0! +0" +0# +0$ +08 +0> +0K +#34030000000 +1! +1" +1# +1$ +18 +1> +1K +#34030100000 +#34031100000 +#34040000000 +0! +0" +0# +0$ +08 +0> +0K +#34050000000 +1! +1" +1# +1$ +18 +1> +1K +#34050100000 +#34051100000 +#34060000000 +0! +0" +0# +0$ +08 +0> +0K +#34070000000 +1! +1" +1# +1$ +18 +1> +1K +#34070100000 +#34071100000 +#34080000000 +0! +0" +0# +0$ +08 +0> +0K +#34090000000 +1! +1" +1# +1$ +18 +1> +1K +#34090100000 +#34091100000 +#34100000000 +0! +0" +0# +0$ +08 +0> +0K +#34110000000 +1! +1" +1# +1$ +18 +1> +1K +#34110100000 +#34111100000 +#34120000000 +0! +0" +0# +0$ +08 +0> +0K +#34130000000 +1! +1" +1# +1$ +18 +1> +1K +#34130100000 +#34131100000 +#34140000000 +0! +0" +0# +0$ +08 +0> +0K +#34150000000 +1! +1" +1# +1$ +18 +1> +1K +#34150100000 +#34151100000 +#34160000000 +0! +0" +0# +0$ +08 +0> +0K +#34170000000 +1! +1" +1# +1$ +18 +1> +1K +#34170100000 +#34171100000 +#34180000000 +0! +0" +0# +0$ +08 +0> +0K +#34190000000 +1! +1" +1# +1$ +18 +1> +1K +#34190100000 +#34191100000 +#34200000000 +0! +0" +0# +0$ +08 +0> +0K +#34210000000 +1! +1" +1# +1$ +18 +1> +1K +#34210100000 +#34211100000 +#34220000000 +0! +0" +0# +0$ +08 +0> +0K +#34230000000 +1! +1" +1# +1$ +18 +1> +1K +#34230100000 +#34231100000 +#34240000000 +0! +0" +0# +0$ +08 +0> +0K +#34250000000 +1! +1" +1# +1$ +18 +1> +1K +#34250100000 +#34251100000 +#34260000000 +0! +0" +0# +0$ +08 +0> +0K +#34270000000 +1! +1" +1# +1$ +18 +1> +1K +#34270100000 +#34271100000 +#34280000000 +0! +0" +0# +0$ +08 +0> +0K +#34290000000 +1! +1" +1# +1$ +18 +1> +1K +#34290100000 +#34291100000 +#34300000000 +0! +0" +0# +0$ +08 +0> +0K +#34310000000 +1! +1" +1# +1$ +18 +1> +1K +#34310100000 +#34311100000 +#34320000000 +0! +0" +0# +0$ +08 +0> +0K +#34330000000 +1! +1" +1# +1$ +18 +1> +1K +#34330100000 +#34331100000 +#34340000000 +0! +0" +0# +0$ +08 +0> +0K +#34350000000 +1! +1" +1# +1$ +18 +1> +1K +#34350100000 +#34351100000 +#34360000000 +0! +0" +0# +0$ +08 +0> +0K +#34370000000 +1! +1" +1# +1$ +18 +1> +1K +#34370100000 +#34371100000 +#34380000000 +0! +0" +0# +0$ +08 +0> +0K +#34390000000 +1! +1" +1# +1$ +18 +1> +1K +#34390100000 +#34391100000 +#34400000000 +0! +0" +0# +0$ +08 +0> +0K +#34410000000 +1! +1" +1# +1$ +18 +1> +1K +#34410100000 +#34411100000 +#34420000000 +0! +0" +0# +0$ +08 +0> +0K +#34430000000 +1! +1" +1# +1$ +18 +1> +1K +#34430100000 +#34431100000 +#34440000000 +0! +0" +0# +0$ +08 +0> +0K +#34450000000 +1! +1" +1# +1$ +18 +1> +1K +#34450100000 +#34451100000 +#34460000000 +0! +0" +0# +0$ +08 +0> +0K +#34470000000 +1! +1" +1# +1$ +18 +1> +1K +#34470100000 +#34471100000 +#34480000000 +0! +0" +0# +0$ +08 +0> +0K +#34490000000 +1! +1" +1# +1$ +18 +1> +1K +#34490100000 +#34491100000 +#34500000000 +0! +0" +0# +0$ +08 +0> +0K +#34510000000 +1! +1" +1# +1$ +18 +1> +1K +#34510100000 +#34511100000 +#34520000000 +0! +0" +0# +0$ +08 +0> +0K +#34530000000 +1! +1" +1# +1$ +18 +1> +1K +#34530100000 +#34531100000 +#34540000000 +0! +0" +0# +0$ +08 +0> +0K +#34550000000 +1! +1" +1# +1$ +18 +1> +1K +#34550100000 +#34551100000 +#34560000000 +0! +0" +0# +0$ +08 +0> +0K +#34570000000 +1! +1" +1# +1$ +18 +1> +1K +#34570100000 +#34571100000 +#34580000000 +0! +0" +0# +0$ +08 +0> +0K +#34590000000 +1! +1" +1# +1$ +18 +1> +1K +#34590100000 +#34591100000 +#34600000000 +0! +0" +0# +0$ +08 +0> +0K +#34610000000 +1! +1" +1# +1$ +18 +1> +1K +#34610100000 +#34611100000 +#34620000000 +0! +0" +0# +0$ +08 +0> +0K +#34630000000 +1! +1" +1# +1$ +18 +1> +1K +#34630100000 +#34631100000 +#34640000000 +0! +0" +0# +0$ +08 +0> +0K +#34650000000 +1! +1" +1# +1$ +18 +1> +1K +#34650100000 +#34651100000 +#34660000000 +0! +0" +0# +0$ +08 +0> +0K +#34670000000 +1! +1" +1# +1$ +18 +1> +1K +#34670100000 +#34671100000 +#34680000000 +0! +0" +0# +0$ +08 +0> +0K +#34690000000 +1! +1" +1# +1$ +18 +1> +1K +#34690100000 +#34691100000 +#34700000000 +0! +0" +0# +0$ +08 +0> +0K +#34710000000 +1! +1" +1# +1$ +18 +1> +1K +#34710100000 +#34711100000 +#34720000000 +0! +0" +0# +0$ +08 +0> +0K +#34730000000 +1! +1" +1# +1$ +18 +1> +1K +#34730100000 +#34731100000 +#34740000000 +0! +0" +0# +0$ +08 +0> +0K +#34750000000 +1! +1" +1# +1$ +18 +1> +1K +#34750100000 +#34751100000 +#34760000000 +0! +0" +0# +0$ +08 +0> +0K +#34770000000 +1! +1" +1# +1$ +18 +1> +1K +#34770100000 +#34771100000 +#34780000000 +0! +0" +0# +0$ +08 +0> +0K +#34790000000 +1! +1" +1# +1$ +18 +1> +1K +#34790100000 +#34791100000 +#34800000000 +0! +0" +0# +0$ +08 +0> +0K +#34810000000 +1! +1" +1# +1$ +18 +1> +1K +#34810100000 +#34811100000 +#34820000000 +0! +0" +0# +0$ +08 +0> +0K +#34830000000 +1! +1" +1# +1$ +18 +1> +1K +#34830100000 +#34831100000 +#34840000000 +0! +0" +0# +0$ +08 +0> +0K +#34850000000 +1! +1" +1# +1$ +18 +1> +1K +#34850100000 +#34851100000 +#34860000000 +0! +0" +0# +0$ +08 +0> +0K +#34870000000 +1! +1" +1# +1$ +18 +1> +1K +#34870100000 +#34871100000 +#34880000000 +0! +0" +0# +0$ +08 +0> +0K +#34890000000 +1! +1" +1# +1$ +18 +1> +1K +#34890100000 +#34891100000 +#34900000000 +0! +0" +0# +0$ +08 +0> +0K +#34910000000 +1! +1" +1# +1$ +18 +1> +1K +#34910100000 +#34911100000 +#34920000000 +0! +0" +0# +0$ +08 +0> +0K +#34930000000 +1! +1" +1# +1$ +18 +1> +1K +#34930100000 +#34931100000 +#34940000000 +0! +0" +0# +0$ +08 +0> +0K +#34950000000 +1! +1" +1# +1$ +18 +1> +1K +#34950100000 +#34951100000 +#34960000000 +0! +0" +0# +0$ +08 +0> +0K +#34970000000 +1! +1" +1# +1$ +18 +1> +1K +#34970100000 +#34971100000 +#34980000000 +0! +0" +0# +0$ +08 +0> +0K +#34990000000 +1! +1" +1# +1$ +18 +1> +1K +#34990100000 +#34991100000 +#35000000000 +0! +0" +0# +0$ +08 +0> +0K +#35010000000 +1! +1" +1# +1$ +18 +1> +1K +#35010100000 +#35011100000 +#35020000000 +0! +0" +0# +0$ +08 +0> +0K +#35030000000 +1! +1" +1# +1$ +18 +1> +1K +#35030100000 +#35031100000 +#35040000000 +0! +0" +0# +0$ +08 +0> +0K +#35050000000 +1! +1" +1# +1$ +18 +1> +1K +#35050100000 +#35051100000 +#35060000000 +0! +0" +0# +0$ +08 +0> +0K +#35070000000 +1! +1" +1# +1$ +18 +1> +1K +#35070100000 +#35071100000 +#35080000000 +0! +0" +0# +0$ +08 +0> +0K +#35090000000 +1! +1" +1# +1$ +18 +1> +1K +#35090100000 +#35091100000 +#35100000000 +0! +0" +0# +0$ +08 +0> +0K +#35110000000 +1! +1" +1# +1$ +18 +1> +1K +#35110100000 +#35111100000 +#35120000000 +0! +0" +0# +0$ +08 +0> +0K +#35130000000 +1! +1" +1# +1$ +18 +1> +1K +#35130100000 +#35131100000 +#35140000000 +0! +0" +0# +0$ +08 +0> +0K +#35150000000 +1! +1" +1# +1$ +18 +1> +1K +#35150100000 +#35151100000 +#35160000000 +0! +0" +0# +0$ +08 +0> +0K +#35170000000 +1! +1" +1# +1$ +18 +1> +1K +#35170100000 +#35171100000 +#35180000000 +0! +0" +0# +0$ +08 +0> +0K +#35190000000 +1! +1" +1# +1$ +18 +1> +1K +#35190100000 +#35191100000 +#35200000000 +0! +0" +0# +0$ +08 +0> +0K +#35210000000 +1! +1" +1# +1$ +18 +1> +1K +#35210100000 +#35211100000 +#35220000000 +0! +0" +0# +0$ +08 +0> +0K +#35230000000 +1! +1" +1# +1$ +18 +1> +1K +#35230100000 +#35231100000 +#35240000000 +0! +0" +0# +0$ +08 +0> +0K +#35250000000 +1! +1" +1# +1$ +18 +1> +1K +#35250100000 +#35251100000 +#35260000000 +0! +0" +0# +0$ +08 +0> +0K +#35270000000 +1! +1" +1# +1$ +18 +1> +1K +#35270100000 +#35271100000 +#35280000000 +0! +0" +0# +0$ +08 +0> +0K +#35290000000 +1! +1" +1# +1$ +18 +1> +1K +#35290100000 +#35291100000 +#35300000000 +0! +0" +0# +0$ +08 +0> +0K +#35310000000 +1! +1" +1# +1$ +18 +1> +1K +#35310100000 +#35311100000 +#35320000000 +0! +0" +0# +0$ +08 +0> +0K +#35330000000 +1! +1" +1# +1$ +18 +1> +1K +#35330100000 +#35331100000 +#35340000000 +0! +0" +0# +0$ +08 +0> +0K +#35350000000 +1! +1" +1# +1$ +18 +1> +1K +#35350100000 +#35351100000 +#35360000000 +0! +0" +0# +0$ +08 +0> +0K +#35370000000 +1! +1" +1# +1$ +18 +1> +1K +#35370100000 +#35371100000 +#35380000000 +0! +0" +0# +0$ +08 +0> +0K +#35390000000 +1! +1" +1# +1$ +18 +1> +1K +#35390100000 +#35391100000 +#35400000000 +0! +0" +0# +0$ +08 +0> +0K +#35410000000 +1! +1" +1# +1$ +18 +1> +1K +#35410100000 +#35411100000 +#35420000000 +0! +0" +0# +0$ +08 +0> +0K +#35430000000 +1! +1" +1# +1$ +18 +1> +1K +#35430100000 +#35431100000 +#35440000000 +0! +0" +0# +0$ +08 +0> +0K +#35450000000 +1! +1" +1# +1$ +18 +1> +1K +#35450100000 +#35451100000 +#35460000000 +0! +0" +0# +0$ +08 +0> +0K +#35470000000 +1! +1" +1# +1$ +18 +1> +1K +#35470100000 +#35471100000 +#35480000000 +0! +0" +0# +0$ +08 +0> +0K +#35490000000 +1! +1" +1# +1$ +18 +1> +1K +#35490100000 +#35491100000 +#35500000000 +0! +0" +0# +0$ +08 +0> +0K +#35510000000 +1! +1" +1# +1$ +18 +1> +1K +#35510100000 +#35511100000 +#35520000000 +0! +0" +0# +0$ +08 +0> +0K +#35530000000 +1! +1" +1# +1$ +18 +1> +1K +#35530100000 +#35531100000 +#35540000000 +0! +0" +0# +0$ +08 +0> +0K +#35550000000 +1! +1" +1# +1$ +18 +1> +1K +#35550100000 +#35551100000 +#35560000000 +0! +0" +0# +0$ +08 +0> +0K +#35570000000 +1! +1" +1# +1$ +18 +1> +1K +#35570100000 +#35571100000 +#35580000000 +0! +0" +0# +0$ +08 +0> +0K +#35590000000 +1! +1" +1# +1$ +18 +1> +1K +#35590100000 +#35591100000 +#35600000000 +0! +0" +0# +0$ +08 +0> +0K +#35610000000 +1! +1" +1# +1$ +18 +1> +1K +#35610100000 +#35611100000 +#35620000000 +0! +0" +0# +0$ +08 +0> +0K +#35630000000 +1! +1" +1# +1$ +18 +1> +1K +#35630100000 +#35631100000 +#35640000000 +0! +0" +0# +0$ +08 +0> +0K +#35650000000 +1! +1" +1# +1$ +18 +1> +1K +#35650100000 +#35651100000 +#35660000000 +0! +0" +0# +0$ +08 +0> +0K +#35670000000 +1! +1" +1# +1$ +18 +1> +1K +#35670100000 +#35671100000 +#35680000000 +0! +0" +0# +0$ +08 +0> +0K +#35690000000 +1! +1" +1# +1$ +18 +1> +1K +#35690100000 +#35691100000 +#35700000000 +0! +0" +0# +0$ +08 +0> +0K +#35710000000 +1! +1" +1# +1$ +18 +1> +1K +#35710100000 +#35711100000 +#35720000000 +0! +0" +0# +0$ +08 +0> +0K +#35730000000 +1! +1" +1# +1$ +18 +1> +1K +#35730100000 +#35731100000 +#35740000000 +0! +0" +0# +0$ +08 +0> +0K +#35750000000 +1! +1" +1# +1$ +18 +1> +1K +#35750100000 +#35751100000 +#35760000000 +0! +0" +0# +0$ +08 +0> +0K +#35770000000 +1! +1" +1# +1$ +18 +1> +1K +#35770100000 +#35771100000 +#35780000000 +0! +0" +0# +0$ +08 +0> +0K +#35790000000 +1! +1" +1# +1$ +18 +1> +1K +#35790100000 +#35791100000 +#35800000000 +0! +0" +0# +0$ +08 +0> +0K +#35810000000 +1! +1" +1# +1$ +18 +1> +1K +#35810100000 +#35811100000 +#35820000000 +0! +0" +0# +0$ +08 +0> +0K +#35830000000 +1! +1" +1# +1$ +18 +1> +1K +#35830100000 +#35831100000 +#35840000000 +0! +0" +0# +0$ +08 +0> +0K +#35850000000 +1! +1" +1# +1$ +18 +1> +1K +#35850100000 +#35851100000 +#35860000000 +0! +0" +0# +0$ +08 +0> +0K +#35870000000 +1! +1" +1# +1$ +18 +1> +1K +#35870100000 +#35871100000 +#35880000000 +0! +0" +0# +0$ +08 +0> +0K +#35890000000 +1! +1" +1# +1$ +18 +1> +1K +#35890100000 +#35891100000 +#35900000000 +0! +0" +0# +0$ +08 +0> +0K +#35910000000 +1! +1" +1# +1$ +18 +1> +1K +#35910100000 +#35911100000 +#35920000000 +0! +0" +0# +0$ +08 +0> +0K +#35930000000 +1! +1" +1# +1$ +18 +1> +1K +#35930100000 +#35931100000 +#35940000000 +0! +0" +0# +0$ +08 +0> +0K +#35950000000 +1! +1" +1# +1$ +18 +1> +1K +#35950100000 +#35951100000 +#35960000000 +0! +0" +0# +0$ +08 +0> +0K +#35970000000 +1! +1" +1# +1$ +18 +1> +1K +#35970100000 +#35971100000 +#35980000000 +0! +0" +0# +0$ +08 +0> +0K +#35990000000 +1! +1" +1# +1$ +18 +1> +1K +#35990100000 +#35991100000 +#36000000000 +0! +0" +0# +0$ +08 +0> +0K +#36010000000 +1! +1" +1# +1$ +18 +1> +1K +#36010100000 +#36011100000 +#36020000000 +0! +0" +0# +0$ +08 +0> +0K +#36030000000 +1! +1" +1# +1$ +18 +1> +1K +#36030100000 +#36031100000 +#36040000000 +0! +0" +0# +0$ +08 +0> +0K +#36050000000 +1! +1" +1# +1$ +18 +1> +1K +#36050100000 +#36051100000 +#36060000000 +0! +0" +0# +0$ +08 +0> +0K +#36070000000 +1! +1" +1# +1$ +18 +1> +1K +#36070100000 +#36071100000 +#36080000000 +0! +0" +0# +0$ +08 +0> +0K +#36090000000 +1! +1" +1# +1$ +18 +1> +1K +#36090100000 +#36091100000 +#36100000000 +0! +0" +0# +0$ +08 +0> +0K +#36110000000 +1! +1" +1# +1$ +18 +1> +1K +#36110100000 +#36111100000 +#36120000000 +0! +0" +0# +0$ +08 +0> +0K +#36130000000 +1! +1" +1# +1$ +18 +1> +1K +#36130100000 +#36131100000 +#36140000000 +0! +0" +0# +0$ +08 +0> +0K +#36150000000 +1! +1" +1# +1$ +18 +1> +1K +#36150100000 +#36151100000 +#36160000000 +0! +0" +0# +0$ +08 +0> +0K +#36170000000 +1! +1" +1# +1$ +18 +1> +1K +#36170100000 +#36171100000 +#36180000000 +0! +0" +0# +0$ +08 +0> +0K +#36190000000 +1! +1" +1# +1$ +18 +1> +1K +#36190100000 +#36191100000 +#36200000000 +0! +0" +0# +0$ +08 +0> +0K +#36210000000 +1! +1" +1# +1$ +18 +1> +1K +#36210100000 +#36211100000 +#36220000000 +0! +0" +0# +0$ +08 +0> +0K +#36230000000 +1! +1" +1# +1$ +18 +1> +1K +#36230100000 +#36231100000 +#36240000000 +0! +0" +0# +0$ +08 +0> +0K +#36250000000 +1! +1" +1# +1$ +18 +1> +1K +#36250100000 +#36251100000 +#36260000000 +0! +0" +0# +0$ +08 +0> +0K +#36270000000 +1! +1" +1# +1$ +18 +1> +1K +#36270100000 +#36271100000 +#36280000000 +0! +0" +0# +0$ +08 +0> +0K +#36290000000 +1! +1" +1# +1$ +18 +1> +1K +#36290100000 +#36291100000 +#36300000000 +0! +0" +0# +0$ +08 +0> +0K +#36310000000 +1! +1" +1# +1$ +18 +1> +1K +#36310100000 +#36311100000 +#36320000000 +0! +0" +0# +0$ +08 +0> +0K +#36330000000 +1! +1" +1# +1$ +18 +1> +1K +#36330100000 +#36331100000 +#36340000000 +0! +0" +0# +0$ +08 +0> +0K +#36350000000 +1! +1" +1# +1$ +18 +1> +1K +#36350100000 +#36351100000 +#36360000000 +0! +0" +0# +0$ +08 +0> +0K +#36370000000 +1! +1" +1# +1$ +18 +1> +1K +#36370100000 +#36371100000 +#36380000000 +0! +0" +0# +0$ +08 +0> +0K +#36390000000 +1! +1" +1# +1$ +18 +1> +1K +#36390100000 +#36391100000 +#36400000000 +0! +0" +0# +0$ +08 +0> +0K +#36410000000 +1! +1" +1# +1$ +18 +1> +1K +#36410100000 +#36411100000 +#36420000000 +0! +0" +0# +0$ +08 +0> +0K +#36430000000 +1! +1" +1# +1$ +18 +1> +1K +#36430100000 +#36431100000 +#36440000000 +0! +0" +0# +0$ +08 +0> +0K +#36450000000 +1! +1" +1# +1$ +18 +1> +1K +#36450100000 +#36451100000 +#36460000000 +0! +0" +0# +0$ +08 +0> +0K +#36470000000 +1! +1" +1# +1$ +18 +1> +1K +#36470100000 +#36471100000 +#36480000000 +0! +0" +0# +0$ +08 +0> +0K +#36490000000 +1! +1" +1# +1$ +18 +1> +1K +#36490100000 +#36491100000 +#36500000000 +0! +0" +0# +0$ +08 +0> +0K +#36510000000 +1! +1" +1# +1$ +18 +1> +1K +#36510100000 +#36511100000 +#36520000000 +0! +0" +0# +0$ +08 +0> +0K +#36530000000 +1! +1" +1# +1$ +18 +1> +1K +#36530100000 +#36531100000 +#36540000000 +0! +0" +0# +0$ +08 +0> +0K +#36550000000 +1! +1" +1# +1$ +18 +1> +1K +#36550100000 +#36551100000 +#36560000000 +0! +0" +0# +0$ +08 +0> +0K +#36570000000 +1! +1" +1# +1$ +18 +1> +1K +#36570100000 +#36571100000 +#36580000000 +0! +0" +0# +0$ +08 +0> +0K +#36590000000 +1! +1" +1# +1$ +18 +1> +1K +#36590100000 +#36591100000 +#36600000000 +0! +0" +0# +0$ +08 +0> +0K +#36610000000 +1! +1" +1# +1$ +18 +1> +1K +#36610100000 +#36611100000 +#36620000000 +0! +0" +0# +0$ +08 +0> +0K +#36630000000 +1! +1" +1# +1$ +18 +1> +1K +#36630100000 +#36631100000 +#36640000000 +0! +0" +0# +0$ +08 +0> +0K +#36650000000 +1! +1" +1# +1$ +18 +1> +1K +#36650100000 +#36651100000 +#36660000000 +0! +0" +0# +0$ +08 +0> +0K +#36670000000 +1! +1" +1# +1$ +18 +1> +1K +#36670100000 +#36671100000 +#36680000000 +0! +0" +0# +0$ +08 +0> +0K +#36690000000 +1! +1" +1# +1$ +18 +1> +1K +#36690100000 +#36691100000 +#36700000000 +0! +0" +0# +0$ +08 +0> +0K +#36710000000 +1! +1" +1# +1$ +18 +1> +1K +#36710100000 +#36711100000 +#36720000000 +0! +0" +0# +0$ +08 +0> +0K +#36730000000 +1! +1" +1# +1$ +18 +1> +1K +#36730100000 +#36731100000 +#36740000000 +0! +0" +0# +0$ +08 +0> +0K +#36750000000 +1! +1" +1# +1$ +18 +1> +1K +#36750100000 +#36751100000 +#36760000000 +0! +0" +0# +0$ +08 +0> +0K +#36770000000 +1! +1" +1# +1$ +18 +1> +1K +#36770100000 +#36771100000 +#36780000000 +0! +0" +0# +0$ +08 +0> +0K +#36790000000 +1! +1" +1# +1$ +18 +1> +1K +#36790100000 +#36791100000 +#36800000000 +0! +0" +0# +0$ +08 +0> +0K +#36810000000 +1! +1" +1# +1$ +18 +1> +1K +#36810100000 +#36811100000 +#36820000000 +0! +0" +0# +0$ +08 +0> +0K +#36830000000 +1! +1" +1# +1$ +18 +1> +1K +#36830100000 +#36831100000 +#36840000000 +0! +0" +0# +0$ +08 +0> +0K +#36850000000 +1! +1" +1# +1$ +18 +1> +1K +#36850100000 +#36851100000 +#36860000000 +0! +0" +0# +0$ +08 +0> +0K +#36870000000 +1! +1" +1# +1$ +18 +1> +1K +#36870100000 +#36871100000 +#36880000000 +0! +0" +0# +0$ +08 +0> +0K +#36890000000 +1! +1" +1# +1$ +18 +1> +1K +#36890100000 +#36891100000 +#36900000000 +0! +0" +0# +0$ +08 +0> +0K +#36910000000 +1! +1" +1# +1$ +18 +1> +1K +#36910100000 +#36911100000 +#36920000000 +0! +0" +0# +0$ +08 +0> +0K +#36930000000 +1! +1" +1# +1$ +18 +1> +1K +#36930100000 +#36931100000 +#36940000000 +0! +0" +0# +0$ +08 +0> +0K +#36950000000 +1! +1" +1# +1$ +18 +1> +1K +#36950100000 +#36951100000 +#36960000000 +0! +0" +0# +0$ +08 +0> +0K +#36970000000 +1! +1" +1# +1$ +18 +1> +1K +#36970100000 +#36971100000 +#36980000000 +0! +0" +0# +0$ +08 +0> +0K +#36990000000 +1! +1" +1# +1$ +18 +1> +1K +#36990100000 +#36991100000 +#37000000000 +0! +0" +0# +0$ +08 +0> +0K +#37010000000 +1! +1" +1# +1$ +18 +1> +1K +#37010100000 +#37011100000 +#37020000000 +0! +0" +0# +0$ +08 +0> +0K +#37030000000 +1! +1" +1# +1$ +18 +1> +1K +#37030100000 +#37031100000 +#37040000000 +0! +0" +0# +0$ +08 +0> +0K +#37050000000 +1! +1" +1# +1$ +18 +1> +1K +#37050100000 +#37051100000 +#37060000000 +0! +0" +0# +0$ +08 +0> +0K +#37070000000 +1! +1" +1# +1$ +18 +1> +1K +#37070100000 +#37071100000 +#37080000000 +0! +0" +0# +0$ +08 +0> +0K +#37090000000 +1! +1" +1# +1$ +18 +1> +1K +#37090100000 +#37091100000 +#37100000000 +0! +0" +0# +0$ +08 +0> +0K +#37110000000 +1! +1" +1# +1$ +18 +1> +1K +#37110100000 +#37111100000 +#37120000000 +0! +0" +0# +0$ +08 +0> +0K +#37130000000 +1! +1" +1# +1$ +18 +1> +1K +#37130100000 +#37131100000 +#37140000000 +0! +0" +0# +0$ +08 +0> +0K +#37150000000 +1! +1" +1# +1$ +18 +1> +1K +#37150100000 +#37151100000 +#37160000000 +0! +0" +0# +0$ +08 +0> +0K +#37170000000 +1! +1" +1# +1$ +18 +1> +1K +#37170100000 +#37171100000 +#37180000000 +0! +0" +0# +0$ +08 +0> +0K +#37190000000 +1! +1" +1# +1$ +18 +1> +1K +#37190100000 +#37191100000 +#37200000000 +0! +0" +0# +0$ +08 +0> +0K +#37210000000 +1! +1" +1# +1$ +18 +1> +1K +#37210100000 +#37211100000 +#37220000000 +0! +0" +0# +0$ +08 +0> +0K +#37230000000 +1! +1" +1# +1$ +18 +1> +1K +#37230100000 +#37231100000 +#37240000000 +0! +0" +0# +0$ +08 +0> +0K +#37250000000 +1! +1" +1# +1$ +18 +1> +1K +#37250100000 +#37251100000 +#37260000000 +0! +0" +0# +0$ +08 +0> +0K +#37270000000 +1! +1" +1# +1$ +18 +1> +1K +#37270100000 +#37271100000 +#37280000000 +0! +0" +0# +0$ +08 +0> +0K +#37290000000 +1! +1" +1# +1$ +18 +1> +1K +#37290100000 +#37291100000 +#37300000000 +0! +0" +0# +0$ +08 +0> +0K +#37310000000 +1! +1" +1# +1$ +18 +1> +1K +#37310100000 +#37311100000 +#37320000000 +0! +0" +0# +0$ +08 +0> +0K +#37330000000 +1! +1" +1# +1$ +18 +1> +1K +#37330100000 +#37331100000 +#37340000000 +0! +0" +0# +0$ +08 +0> +0K +#37350000000 +1! +1" +1# +1$ +18 +1> +1K +#37350100000 +#37351100000 +#37360000000 +0! +0" +0# +0$ +08 +0> +0K +#37370000000 +1! +1" +1# +1$ +18 +1> +1K +#37370100000 +#37371100000 +#37380000000 +0! +0" +0# +0$ +08 +0> +0K +#37390000000 +1! +1" +1# +1$ +18 +1> +1K +#37390100000 +#37391100000 +#37400000000 +0! +0" +0# +0$ +08 +0> +0K +#37410000000 +1! +1" +1# +1$ +18 +1> +1K +#37410100000 +#37411100000 +#37420000000 +0! +0" +0# +0$ +08 +0> +0K +#37430000000 +1! +1" +1# +1$ +18 +1> +1K +#37430100000 +#37431100000 +#37440000000 +0! +0" +0# +0$ +08 +0> +0K +#37450000000 +1! +1" +1# +1$ +18 +1> +1K +#37450100000 +#37451100000 +#37460000000 +0! +0" +0# +0$ +08 +0> +0K +#37470000000 +1! +1" +1# +1$ +18 +1> +1K +#37470100000 +#37471100000 +#37480000000 +0! +0" +0# +0$ +08 +0> +0K +#37490000000 +1! +1" +1# +1$ +18 +1> +1K +#37490100000 +#37491100000 +#37500000000 +0! +0" +0# +0$ +08 +0> +0K +#37510000000 +1! +1" +1# +1$ +18 +1> +1K +#37510100000 +#37511100000 +#37520000000 +0! +0" +0# +0$ +08 +0> +0K +#37530000000 +1! +1" +1# +1$ +18 +1> +1K +#37530100000 +#37531100000 +#37540000000 +0! +0" +0# +0$ +08 +0> +0K +#37550000000 +1! +1" +1# +1$ +18 +1> +1K +#37550100000 +#37551100000 +#37560000000 +0! +0" +0# +0$ +08 +0> +0K +#37570000000 +1! +1" +1# +1$ +18 +1> +1K +#37570100000 +#37571100000 +#37580000000 +0! +0" +0# +0$ +08 +0> +0K +#37590000000 +1! +1" +1# +1$ +18 +1> +1K +#37590100000 +#37591100000 +#37600000000 +0! +0" +0# +0$ +08 +0> +0K +#37610000000 +1! +1" +1# +1$ +18 +1> +1K +#37610100000 +#37611100000 +#37620000000 +0! +0" +0# +0$ +08 +0> +0K +#37630000000 +1! +1" +1# +1$ +18 +1> +1K +#37630100000 +#37631100000 +#37640000000 +0! +0" +0# +0$ +08 +0> +0K +#37650000000 +1! +1" +1# +1$ +18 +1> +1K +#37650100000 +#37651100000 +#37660000000 +0! +0" +0# +0$ +08 +0> +0K +#37670000000 +1! +1" +1# +1$ +18 +1> +1K +#37670100000 +#37671100000 +#37680000000 +0! +0" +0# +0$ +08 +0> +0K +#37690000000 +1! +1" +1# +1$ +18 +1> +1K +#37690100000 +#37691100000 +#37700000000 +0! +0" +0# +0$ +08 +0> +0K +#37710000000 +1! +1" +1# +1$ +18 +1> +1K +#37710100000 +#37711100000 +#37720000000 +0! +0" +0# +0$ +08 +0> +0K +#37730000000 +1! +1" +1# +1$ +18 +1> +1K +#37730100000 +#37731100000 +#37740000000 +0! +0" +0# +0$ +08 +0> +0K +#37750000000 +1! +1" +1# +1$ +18 +1> +1K +#37750100000 +#37751100000 +#37760000000 +0! +0" +0# +0$ +08 +0> +0K +#37770000000 +1! +1" +1# +1$ +18 +1> +1K +#37770100000 +#37771100000 +#37780000000 +0! +0" +0# +0$ +08 +0> +0K +#37790000000 +1! +1" +1# +1$ +18 +1> +1K +#37790100000 +#37791100000 +#37800000000 +0! +0" +0# +0$ +08 +0> +0K +#37810000000 +1! +1" +1# +1$ +18 +1> +1K +#37810100000 +#37811100000 +#37820000000 +0! +0" +0# +0$ +08 +0> +0K +#37830000000 +1! +1" +1# +1$ +18 +1> +1K +#37830100000 +#37831100000 +#37840000000 +0! +0" +0# +0$ +08 +0> +0K +#37850000000 +1! +1" +1# +1$ +18 +1> +1K +#37850100000 +#37851100000 +#37860000000 +0! +0" +0# +0$ +08 +0> +0K +#37870000000 +1! +1" +1# +1$ +18 +1> +1K +#37870100000 +#37871100000 +#37880000000 +0! +0" +0# +0$ +08 +0> +0K +#37890000000 +1! +1" +1# +1$ +18 +1> +1K +#37890100000 +#37891100000 +#37900000000 +0! +0" +0# +0$ +08 +0> +0K +#37910000000 +1! +1" +1# +1$ +18 +1> +1K +#37910100000 +#37911100000 +#37920000000 +0! +0" +0# +0$ +08 +0> +0K +#37930000000 +1! +1" +1# +1$ +18 +1> +1K +#37930100000 +#37931100000 +#37940000000 +0! +0" +0# +0$ +08 +0> +0K +#37950000000 +1! +1" +1# +1$ +18 +1> +1K +#37950100000 +#37951100000 +#37960000000 +0! +0" +0# +0$ +08 +0> +0K +#37970000000 +1! +1" +1# +1$ +18 +1> +1K +#37970100000 +#37971100000 +#37980000000 +0! +0" +0# +0$ +08 +0> +0K +#37990000000 +1! +1" +1# +1$ +18 +1> +1K +#37990100000 +#37991100000 +#38000000000 +0! +0" +0# +0$ +08 +0> +0K +#38010000000 +1! +1" +1# +1$ +18 +1> +1K +#38010100000 +#38011100000 +#38020000000 +0! +0" +0# +0$ +08 +0> +0K +#38030000000 +1! +1" +1# +1$ +18 +1> +1K +#38030100000 +#38031100000 +#38040000000 +0! +0" +0# +0$ +08 +0> +0K +#38050000000 +1! +1" +1# +1$ +18 +1> +1K +#38050100000 +#38051100000 +#38060000000 +0! +0" +0# +0$ +08 +0> +0K +#38070000000 +1! +1" +1# +1$ +18 +1> +1K +#38070100000 +#38071100000 +#38080000000 +0! +0" +0# +0$ +08 +0> +0K +#38090000000 +1! +1" +1# +1$ +18 +1> +1K +#38090100000 +#38091100000 +#38100000000 +0! +0" +0# +0$ +08 +0> +0K +#38110000000 +1! +1" +1# +1$ +18 +1> +1K +#38110100000 +#38111100000 +#38120000000 +0! +0" +0# +0$ +08 +0> +0K +#38130000000 +1! +1" +1# +1$ +18 +1> +1K +#38130100000 +#38131100000 +#38140000000 +0! +0" +0# +0$ +08 +0> +0K +#38150000000 +1! +1" +1# +1$ +18 +1> +1K +#38150100000 +#38151100000 +#38160000000 +0! +0" +0# +0$ +08 +0> +0K +#38170000000 +1! +1" +1# +1$ +18 +1> +1K +#38170100000 +#38171100000 +#38180000000 +0! +0" +0# +0$ +08 +0> +0K +#38190000000 +1! +1" +1# +1$ +18 +1> +1K +#38190100000 +#38191100000 +#38200000000 +0! +0" +0# +0$ +08 +0> +0K +#38210000000 +1! +1" +1# +1$ +18 +1> +1K +#38210100000 +#38211100000 +#38220000000 +0! +0" +0# +0$ +08 +0> +0K +#38230000000 +1! +1" +1# +1$ +18 +1> +1K +#38230100000 +#38231100000 +#38240000000 +0! +0" +0# +0$ +08 +0> +0K +#38250000000 +1! +1" +1# +1$ +18 +1> +1K +#38250100000 +#38251100000 +#38260000000 +0! +0" +0# +0$ +08 +0> +0K +#38270000000 +1! +1" +1# +1$ +18 +1> +1K +#38270100000 +#38271100000 +#38280000000 +0! +0" +0# +0$ +08 +0> +0K +#38290000000 +1! +1" +1# +1$ +18 +1> +1K +#38290100000 +#38291100000 +#38300000000 +0! +0" +0# +0$ +08 +0> +0K +#38310000000 +1! +1" +1# +1$ +18 +1> +1K +#38310100000 +#38311100000 +#38320000000 +0! +0" +0# +0$ +08 +0> +0K +#38330000000 +1! +1" +1# +1$ +18 +1> +1K +#38330100000 +#38331100000 +#38340000000 +0! +0" +0# +0$ +08 +0> +0K +#38350000000 +1! +1" +1# +1$ +18 +1> +1K +#38350100000 +#38351100000 +#38360000000 +0! +0" +0# +0$ +08 +0> +0K +#38370000000 +1! +1" +1# +1$ +18 +1> +1K +#38370100000 +#38371100000 +#38380000000 +0! +0" +0# +0$ +08 +0> +0K +#38390000000 +1! +1" +1# +1$ +18 +1> +1K +#38390100000 +#38391100000 +#38400000000 +0! +0" +0# +0$ +08 +0> +0K +#38410000000 +1! +1" +1# +1$ +18 +1> +1K +#38410100000 +#38411100000 +#38420000000 +0! +0" +0# +0$ +08 +0> +0K +#38430000000 +1! +1" +1# +1$ +18 +1> +1K +#38430100000 +#38431100000 +#38440000000 +0! +0" +0# +0$ +08 +0> +0K +#38450000000 +1! +1" +1# +1$ +18 +1> +1K +#38450100000 +#38451100000 +#38460000000 +0! +0" +0# +0$ +08 +0> +0K +#38470000000 +1! +1" +1# +1$ +18 +1> +1K +#38470100000 +#38471100000 +#38480000000 +0! +0" +0# +0$ +08 +0> +0K +#38490000000 +1! +1" +1# +1$ +18 +1> +1K +#38490100000 +#38491100000 +#38500000000 +0! +0" +0# +0$ +08 +0> +0K +#38510000000 +1! +1" +1# +1$ +18 +1> +1K +#38510100000 +#38511100000 +#38520000000 +0! +0" +0# +0$ +08 +0> +0K +#38530000000 +1! +1" +1# +1$ +18 +1> +1K +#38530100000 +#38531100000 +#38540000000 +0! +0" +0# +0$ +08 +0> +0K +#38550000000 +1! +1" +1# +1$ +18 +1> +1K +#38550100000 +#38551100000 +#38560000000 +0! +0" +0# +0$ +08 +0> +0K +#38570000000 +1! +1" +1# +1$ +18 +1> +1K +#38570100000 +#38571100000 +#38580000000 +0! +0" +0# +0$ +08 +0> +0K +#38590000000 +1! +1" +1# +1$ +18 +1> +1K +#38590100000 +#38591100000 +#38600000000 +0! +0" +0# +0$ +08 +0> +0K +#38610000000 +1! +1" +1# +1$ +18 +1> +1K +#38610100000 +#38611100000 +#38620000000 +0! +0" +0# +0$ +08 +0> +0K +#38630000000 +1! +1" +1# +1$ +18 +1> +1K +#38630100000 +#38631100000 +#38640000000 +0! +0" +0# +0$ +08 +0> +0K +#38650000000 +1! +1" +1# +1$ +18 +1> +1K +#38650100000 +#38651100000 +#38660000000 +0! +0" +0# +0$ +08 +0> +0K +#38670000000 +1! +1" +1# +1$ +18 +1> +1K +#38670100000 +#38671100000 +#38680000000 +0! +0" +0# +0$ +08 +0> +0K +#38690000000 +1! +1" +1# +1$ +18 +1> +1K +#38690100000 +#38691100000 +#38700000000 +0! +0" +0# +0$ +08 +0> +0K +#38710000000 +1! +1" +1# +1$ +18 +1> +1K +#38710100000 +#38711100000 +#38720000000 +0! +0" +0# +0$ +08 +0> +0K +#38730000000 +1! +1" +1# +1$ +18 +1> +1K +#38730100000 +#38731100000 +#38740000000 +0! +0" +0# +0$ +08 +0> +0K +#38750000000 +1! +1" +1# +1$ +18 +1> +1K +#38750100000 +#38751100000 +#38760000000 +0! +0" +0# +0$ +08 +0> +0K +#38770000000 +1! +1" +1# +1$ +18 +1> +1K +#38770100000 +#38771100000 +#38780000000 +0! +0" +0# +0$ +08 +0> +0K +#38790000000 +1! +1" +1# +1$ +18 +1> +1K +#38790100000 +#38791100000 +#38800000000 +0! +0" +0# +0$ +08 +0> +0K +#38810000000 +1! +1" +1# +1$ +18 +1> +1K +#38810100000 +#38811100000 +#38820000000 +0! +0" +0# +0$ +08 +0> +0K +#38830000000 +1! +1" +1# +1$ +18 +1> +1K +#38830100000 +#38831100000 +#38840000000 +0! +0" +0# +0$ +08 +0> +0K +#38850000000 +1! +1" +1# +1$ +18 +1> +1K +#38850100000 +#38851100000 +#38860000000 +0! +0" +0# +0$ +08 +0> +0K +#38870000000 +1! +1" +1# +1$ +18 +1> +1K +#38870100000 +#38871100000 +#38880000000 +0! +0" +0# +0$ +08 +0> +0K +#38890000000 +1! +1" +1# +1$ +18 +1> +1K +#38890100000 +#38891100000 +#38900000000 +0! +0" +0# +0$ +08 +0> +0K +#38910000000 +1! +1" +1# +1$ +18 +1> +1K +#38910100000 +#38911100000 +#38920000000 +0! +0" +0# +0$ +08 +0> +0K +#38930000000 +1! +1" +1# +1$ +18 +1> +1K +#38930100000 +#38931100000 +#38940000000 +0! +0" +0# +0$ +08 +0> +0K +#38950000000 +1! +1" +1# +1$ +18 +1> +1K +#38950100000 +#38951100000 +#38960000000 +0! +0" +0# +0$ +08 +0> +0K +#38970000000 +1! +1" +1# +1$ +18 +1> +1K +#38970100000 +#38971100000 +#38980000000 +0! +0" +0# +0$ +08 +0> +0K +#38990000000 +1! +1" +1# +1$ +18 +1> +1K +#38990100000 +#38991100000 +#39000000000 +0! +0" +0# +0$ +08 +0> +0K +#39010000000 +1! +1" +1# +1$ +18 +1> +1K +#39010100000 +#39011100000 +#39020000000 +0! +0" +0# +0$ +08 +0> +0K +#39030000000 +1! +1" +1# +1$ +18 +1> +1K +#39030100000 +#39031100000 +#39040000000 +0! +0" +0# +0$ +08 +0> +0K +#39050000000 +1! +1" +1# +1$ +18 +1> +1K +#39050100000 +#39051100000 +#39060000000 +0! +0" +0# +0$ +08 +0> +0K +#39070000000 +1! +1" +1# +1$ +18 +1> +1K +#39070100000 +#39071100000 +#39080000000 +0! +0" +0# +0$ +08 +0> +0K +#39090000000 +1! +1" +1# +1$ +18 +1> +1K +#39090100000 +#39091100000 +#39100000000 +0! +0" +0# +0$ +08 +0> +0K +#39110000000 +1! +1" +1# +1$ +18 +1> +1K +#39110100000 +#39111100000 +#39120000000 +0! +0" +0# +0$ +08 +0> +0K +#39130000000 +1! +1" +1# +1$ +18 +1> +1K +#39130100000 +#39131100000 +#39140000000 +0! +0" +0# +0$ +08 +0> +0K +#39150000000 +1! +1" +1# +1$ +18 +1> +1K +#39150100000 +#39151100000 +#39160000000 +0! +0" +0# +0$ +08 +0> +0K +#39170000000 +1! +1" +1# +1$ +18 +1> +1K +#39170100000 +#39171100000 +#39180000000 +0! +0" +0# +0$ +08 +0> +0K +#39190000000 +1! +1" +1# +1$ +18 +1> +1K +#39190100000 +#39191100000 +#39200000000 +0! +0" +0# +0$ +08 +0> +0K +#39210000000 +1! +1" +1# +1$ +18 +1> +1K +#39210100000 +#39211100000 +#39220000000 +0! +0" +0# +0$ +08 +0> +0K +#39230000000 +1! +1" +1# +1$ +18 +1> +1K +#39230100000 +#39231100000 +#39240000000 +0! +0" +0# +0$ +08 +0> +0K +#39250000000 +1! +1" +1# +1$ +18 +1> +1K +#39250100000 +#39251100000 +#39260000000 +0! +0" +0# +0$ +08 +0> +0K +#39270000000 +1! +1" +1# +1$ +18 +1> +1K +#39270100000 +#39271100000 +#39280000000 +0! +0" +0# +0$ +08 +0> +0K +#39290000000 +1! +1" +1# +1$ +18 +1> +1K +#39290100000 +#39291100000 +#39300000000 +0! +0" +0# +0$ +08 +0> +0K +#39310000000 +1! +1" +1# +1$ +18 +1> +1K +#39310100000 +#39311100000 +#39320000000 +0! +0" +0# +0$ +08 +0> +0K +#39330000000 +1! +1" +1# +1$ +18 +1> +1K +#39330100000 +#39331100000 +#39340000000 +0! +0" +0# +0$ +08 +0> +0K +#39350000000 +1! +1" +1# +1$ +18 +1> +1K +#39350100000 +#39351100000 +#39360000000 +0! +0" +0# +0$ +08 +0> +0K +#39370000000 +1! +1" +1# +1$ +18 +1> +1K +#39370100000 +#39371100000 +#39380000000 +0! +0" +0# +0$ +08 +0> +0K +#39390000000 +1! +1" +1# +1$ +18 +1> +1K +#39390100000 +#39391100000 +#39400000000 +0! +0" +0# +0$ +08 +0> +0K +#39410000000 +1! +1" +1# +1$ +18 +1> +1K +#39410100000 +#39411100000 +#39420000000 +0! +0" +0# +0$ +08 +0> +0K +#39430000000 +1! +1" +1# +1$ +18 +1> +1K +#39430100000 +#39431100000 +#39440000000 +0! +0" +0# +0$ +08 +0> +0K +#39450000000 +1! +1" +1# +1$ +18 +1> +1K +#39450100000 +#39451100000 +#39460000000 +0! +0" +0# +0$ +08 +0> +0K +#39470000000 +1! +1" +1# +1$ +18 +1> +1K +#39470100000 +#39471100000 +#39480000000 +0! +0" +0# +0$ +08 +0> +0K +#39490000000 +1! +1" +1# +1$ +18 +1> +1K +#39490100000 +#39491100000 +#39500000000 +0! +0" +0# +0$ +08 +0> +0K +#39510000000 +1! +1" +1# +1$ +18 +1> +1K +#39510100000 +#39511100000 +#39520000000 +0! +0" +0# +0$ +08 +0> +0K +#39530000000 +1! +1" +1# +1$ +18 +1> +1K +#39530100000 +#39531100000 +#39540000000 +0! +0" +0# +0$ +08 +0> +0K +#39550000000 +1! +1" +1# +1$ +18 +1> +1K +#39550100000 +#39551100000 +#39560000000 +0! +0" +0# +0$ +08 +0> +0K +#39570000000 +1! +1" +1# +1$ +18 +1> +1K +#39570100000 +#39571100000 +#39580000000 +0! +0" +0# +0$ +08 +0> +0K +#39590000000 +1! +1" +1# +1$ +18 +1> +1K +#39590100000 +#39591100000 +#39600000000 +0! +0" +0# +0$ +08 +0> +0K +#39610000000 +1! +1" +1# +1$ +18 +1> +1K +#39610100000 +#39611100000 +#39620000000 +0! +0" +0# +0$ +08 +0> +0K +#39630000000 +1! +1" +1# +1$ +18 +1> +1K +#39630100000 +#39631100000 +#39640000000 +0! +0" +0# +0$ +08 +0> +0K +#39650000000 +1! +1" +1# +1$ +18 +1> +1K +#39650100000 +#39651100000 +#39660000000 +0! +0" +0# +0$ +08 +0> +0K +#39670000000 +1! +1" +1# +1$ +18 +1> +1K +#39670100000 +#39671100000 +#39680000000 +0! +0" +0# +0$ +08 +0> +0K +#39690000000 +1! +1" +1# +1$ +18 +1> +1K +#39690100000 +#39691100000 +#39700000000 +0! +0" +0# +0$ +08 +0> +0K +#39710000000 +1! +1" +1# +1$ +18 +1> +1K +#39710100000 +#39711100000 +#39720000000 +0! +0" +0# +0$ +08 +0> +0K +#39730000000 +1! +1" +1# +1$ +18 +1> +1K +#39730100000 +#39731100000 +#39740000000 +0! +0" +0# +0$ +08 +0> +0K +#39750000000 +1! +1" +1# +1$ +18 +1> +1K +#39750100000 +#39751100000 +#39760000000 +0! +0" +0# +0$ +08 +0> +0K +#39770000000 +1! +1" +1# +1$ +18 +1> +1K +#39770100000 +#39771100000 +#39780000000 +0! +0" +0# +0$ +08 +0> +0K +#39790000000 +1! +1" +1# +1$ +18 +1> +1K +#39790100000 +#39791100000 +#39800000000 +0! +0" +0# +0$ +08 +0> +0K +#39810000000 +1! +1" +1# +1$ +18 +1> +1K +#39810100000 +#39811100000 +#39820000000 +0! +0" +0# +0$ +08 +0> +0K +#39830000000 +1! +1" +1# +1$ +18 +1> +1K +#39830100000 +#39831100000 +#39840000000 +0! +0" +0# +0$ +08 +0> +0K +#39850000000 +1! +1" +1# +1$ +18 +1> +1K +#39850100000 +#39851100000 +#39860000000 +0! +0" +0# +0$ +08 +0> +0K +#39870000000 +1! +1" +1# +1$ +18 +1> +1K +#39870100000 +#39871100000 +#39880000000 +0! +0" +0# +0$ +08 +0> +0K +#39890000000 +1! +1" +1# +1$ +18 +1> +1K +#39890100000 +#39891100000 +#39900000000 +0! +0" +0# +0$ +08 +0> +0K +#39910000000 +1! +1" +1# +1$ +18 +1> +1K +#39910100000 +#39911100000 +#39920000000 +0! +0" +0# +0$ +08 +0> +0K +#39930000000 +1! +1" +1# +1$ +18 +1> +1K +#39930100000 +#39931100000 +#39940000000 +0! +0" +0# +0$ +08 +0> +0K +#39950000000 +1! +1" +1# +1$ +18 +1> +1K +#39950100000 +#39951100000 +#39960000000 +0! +0" +0# +0$ +08 +0> +0K +#39970000000 +1! +1" +1# +1$ +18 +1> +1K +#39970100000 +#39971100000 +#39980000000 +0! +0" +0# +0$ +08 +0> +0K +#39990000000 +1! +1" +1# +1$ +18 +1> +1K +#39990100000 +#39991100000 +#40000000000 +0! +0" +0# +0$ +08 +0> +0K +#40010000000 +1! +1" +1# +1$ +18 +1> +1K +#40010100000 +#40011100000 +#40020000000 +0! +0" +0# +0$ +08 +0> +0K +#40030000000 +1! +1" +1# +1$ +18 +1> +1K +#40030100000 +#40031100000 +#40040000000 +0! +0" +0# +0$ +08 +0> +0K +#40050000000 +1! +1" +1# +1$ +18 +1> +1K +#40050100000 +#40051100000 +#40060000000 +0! +0" +0# +0$ +08 +0> +0K +#40070000000 +1! +1" +1# +1$ +18 +1> +1K +#40070100000 +#40071100000 +#40080000000 +0! +0" +0# +0$ +08 +0> +0K +#40090000000 +1! +1" +1# +1$ +18 +1> +1K +#40090100000 +#40091100000 +#40100000000 +0! +0" +0# +0$ +08 +0> +0K +#40110000000 +1! +1" +1# +1$ +18 +1> +1K +#40110100000 +#40111100000 +#40120000000 +0! +0" +0# +0$ +08 +0> +0K +#40130000000 +1! +1" +1# +1$ +18 +1> +1K +#40130100000 +#40131100000 +#40140000000 +0! +0" +0# +0$ +08 +0> +0K +#40150000000 +1! +1" +1# +1$ +18 +1> +1K +#40150100000 +#40151100000 +#40160000000 +0! +0" +0# +0$ +08 +0> +0K +#40170000000 +1! +1" +1# +1$ +18 +1> +1K +#40170100000 +#40171100000 +#40180000000 +0! +0" +0# +0$ +08 +0> +0K +#40190000000 +1! +1" +1# +1$ +18 +1> +1K +#40190100000 +#40191100000 +#40200000000 +0! +0" +0# +0$ +08 +0> +0K +#40210000000 +1! +1" +1# +1$ +18 +1> +1K +#40210100000 +#40211100000 +#40220000000 +0! +0" +0# +0$ +08 +0> +0K +#40230000000 +1! +1" +1# +1$ +18 +1> +1K +#40230100000 +#40231100000 +#40240000000 +0! +0" +0# +0$ +08 +0> +0K +#40250000000 +1! +1" +1# +1$ +18 +1> +1K +#40250100000 +#40251100000 +#40260000000 +0! +0" +0# +0$ +08 +0> +0K +#40270000000 +1! +1" +1# +1$ +18 +1> +1K +#40270100000 +#40271100000 +#40280000000 +0! +0" +0# +0$ +08 +0> +0K +#40290000000 +1! +1" +1# +1$ +18 +1> +1K +#40290100000 +#40291100000 +#40300000000 +0! +0" +0# +0$ +08 +0> +0K +#40310000000 +1! +1" +1# +1$ +18 +1> +1K +#40310100000 +#40311100000 +#40320000000 +0! +0" +0# +0$ +08 +0> +0K +#40330000000 +1! +1" +1# +1$ +18 +1> +1K +#40330100000 +#40331100000 +#40340000000 +0! +0" +0# +0$ +08 +0> +0K +#40350000000 +1! +1" +1# +1$ +18 +1> +1K +#40350100000 +#40351100000 +#40360000000 +0! +0" +0# +0$ +08 +0> +0K +#40370000000 +1! +1" +1# +1$ +18 +1> +1K +#40370100000 +#40371100000 +#40380000000 +0! +0" +0# +0$ +08 +0> +0K +#40390000000 +1! +1" +1# +1$ +18 +1> +1K +#40390100000 +#40391100000 +#40400000000 +0! +0" +0# +0$ +08 +0> +0K +#40410000000 +1! +1" +1# +1$ +18 +1> +1K +#40410100000 +#40411100000 +#40420000000 +0! +0" +0# +0$ +08 +0> +0K +#40430000000 +1! +1" +1# +1$ +18 +1> +1K +#40430100000 +#40431100000 +#40440000000 +0! +0" +0# +0$ +08 +0> +0K +#40450000000 +1! +1" +1# +1$ +18 +1> +1K +#40450100000 +#40451100000 +#40460000000 +0! +0" +0# +0$ +08 +0> +0K +#40470000000 +1! +1" +1# +1$ +18 +1> +1K +#40470100000 +#40471100000 +#40480000000 +0! +0" +0# +0$ +08 +0> +0K +#40490000000 +1! +1" +1# +1$ +18 +1> +1K +#40490100000 +#40491100000 +#40500000000 +0! +0" +0# +0$ +08 +0> +0K +#40510000000 +1! +1" +1# +1$ +18 +1> +1K +#40510100000 +#40511100000 +#40520000000 +0! +0" +0# +0$ +08 +0> +0K +#40530000000 +1! +1" +1# +1$ +18 +1> +1K +#40530100000 +#40531100000 +#40540000000 +0! +0" +0# +0$ +08 +0> +0K +#40550000000 +1! +1" +1# +1$ +18 +1> +1K +#40550100000 +#40551100000 +#40560000000 +0! +0" +0# +0$ +08 +0> +0K +#40570000000 +1! +1" +1# +1$ +18 +1> +1K +#40570100000 +#40571100000 +#40580000000 +0! +0" +0# +0$ +08 +0> +0K +#40590000000 +1! +1" +1# +1$ +18 +1> +1K +#40590100000 +#40591100000 +#40600000000 +0! +0" +0# +0$ +08 +0> +0K +#40610000000 +1! +1" +1# +1$ +18 +1> +1K +#40610100000 +#40611100000 +#40620000000 +0! +0" +0# +0$ +08 +0> +0K +#40630000000 +1! +1" +1# +1$ +18 +1> +1K +#40630100000 +#40631100000 +#40640000000 +0! +0" +0# +0$ +08 +0> +0K +#40650000000 +1! +1" +1# +1$ +18 +1> +1K +#40650100000 +#40651100000 +#40660000000 +0! +0" +0# +0$ +08 +0> +0K +#40670000000 +1! +1" +1# +1$ +18 +1> +1K +#40670100000 +#40671100000 +#40680000000 +0! +0" +0# +0$ +08 +0> +0K +#40690000000 +1! +1" +1# +1$ +18 +1> +1K +#40690100000 +#40691100000 +#40700000000 +0! +0" +0# +0$ +08 +0> +0K +#40710000000 +1! +1" +1# +1$ +18 +1> +1K +#40710100000 +#40711100000 +#40720000000 +0! +0" +0# +0$ +08 +0> +0K +#40730000000 +1! +1" +1# +1$ +18 +1> +1K +#40730100000 +#40731100000 +#40740000000 +0! +0" +0# +0$ +08 +0> +0K +#40750000000 +1! +1" +1# +1$ +18 +1> +1K +#40750100000 +#40751100000 +#40760000000 +0! +0" +0# +0$ +08 +0> +0K +#40770000000 +1! +1" +1# +1$ +18 +1> +1K +#40770100000 +#40771100000 +#40780000000 +0! +0" +0# +0$ +08 +0> +0K +#40790000000 +1! +1" +1# +1$ +18 +1> +1K +#40790100000 +#40791100000 +#40800000000 +0! +0" +0# +0$ +08 +0> +0K +#40810000000 +1! +1" +1# +1$ +18 +1> +1K +#40810100000 +#40811100000 +#40820000000 +0! +0" +0# +0$ +08 +0> +0K +#40830000000 +1! +1" +1# +1$ +18 +1> +1K +#40830100000 +#40831100000 +#40840000000 +0! +0" +0# +0$ +08 +0> +0K +#40850000000 +1! +1" +1# +1$ +18 +1> +1K +#40850100000 +#40851100000 +#40860000000 +0! +0" +0# +0$ +08 +0> +0K +#40870000000 +1! +1" +1# +1$ +18 +1> +1K +#40870100000 +#40871100000 +#40880000000 +0! +0" +0# +0$ +08 +0> +0K +#40890000000 +1! +1" +1# +1$ +18 +1> +1K +#40890100000 +#40891100000 +#40900000000 +0! +0" +0# +0$ +08 +0> +0K +#40910000000 +1! +1" +1# +1$ +18 +1> +1K +#40910100000 +#40911100000 +#40920000000 +0! +0" +0# +0$ +08 +0> +0K +#40930000000 +1! +1" +1# +1$ +18 +1> +1K +#40930100000 +#40931100000 +#40940000000 +0! +0" +0# +0$ +08 +0> +0K +#40950000000 +1! +1" +1# +1$ +18 +1> +1K +#40950100000 +#40951100000 +#40960000000 +0! +0" +0# +0$ +08 +0> +0K +#40970000000 +1! +1" +1# +1$ +18 +1> +1K +#40970100000 +#40971100000 +#40980000000 +0! +0" +0# +0$ +08 +0> +0K +#40990000000 +1! +1" +1# +1$ +18 +1> +1K +#40990100000 +#40991100000 +#41000000000 +0! +0" +0# +0$ +08 +0> +0K +#41010000000 +1! +1" +1# +1$ +18 +1> +1K +#41010100000 +#41011100000 +#41020000000 +0! +0" +0# +0$ +08 +0> +0K +#41030000000 +1! +1" +1# +1$ +18 +1> +1K +#41030100000 +#41031100000 +#41040000000 +0! +0" +0# +0$ +08 +0> +0K +#41050000000 +1! +1" +1# +1$ +18 +1> +1K +#41050100000 +#41051100000 +#41060000000 +0! +0" +0# +0$ +08 +0> +0K +#41070000000 +1! +1" +1# +1$ +18 +1> +1K +#41070100000 +#41071100000 +#41080000000 +0! +0" +0# +0$ +08 +0> +0K +#41090000000 +1! +1" +1# +1$ +18 +1> +1K +#41090100000 +#41091100000 +#41100000000 +0! +0" +0# +0$ +08 +0> +0K +#41110000000 +1! +1" +1# +1$ +18 +1> +1K +#41110100000 +#41111100000 +#41120000000 +0! +0" +0# +0$ +08 +0> +0K +#41130000000 +1! +1" +1# +1$ +18 +1> +1K +#41130100000 +#41131100000 +#41140000000 +0! +0" +0# +0$ +08 +0> +0K +#41150000000 +1! +1" +1# +1$ +18 +1> +1K +#41150100000 +#41151100000 +#41160000000 +0! +0" +0# +0$ +08 +0> +0K +#41170000000 +1! +1" +1# +1$ +18 +1> +1K +#41170100000 +#41171100000 +#41180000000 +0! +0" +0# +0$ +08 +0> +0K +#41190000000 +1! +1" +1# +1$ +18 +1> +1K +#41190100000 +#41191100000 +#41200000000 +0! +0" +0# +0$ +08 +0> +0K +#41210000000 +1! +1" +1# +1$ +18 +1> +1K +#41210100000 +#41211100000 +#41220000000 +0! +0" +0# +0$ +08 +0> +0K +#41230000000 +1! +1" +1# +1$ +18 +1> +1K +#41230100000 +#41231100000 +#41240000000 +0! +0" +0# +0$ +08 +0> +0K +#41250000000 +1! +1" +1# +1$ +18 +1> +1K +#41250100000 +#41251100000 +#41260000000 +0! +0" +0# +0$ +08 +0> +0K +#41270000000 +1! +1" +1# +1$ +18 +1> +1K +#41270100000 +#41271100000 +#41280000000 +0! +0" +0# +0$ +08 +0> +0K +#41290000000 +1! +1" +1# +1$ +18 +1> +1K +#41290100000 +#41291100000 +#41300000000 +0! +0" +0# +0$ +08 +0> +0K +#41310000000 +1! +1" +1# +1$ +18 +1> +1K +#41310100000 +#41311100000 +#41320000000 +0! +0" +0# +0$ +08 +0> +0K +#41330000000 +1! +1" +1# +1$ +18 +1> +1K +#41330100000 +#41331100000 +#41340000000 +0! +0" +0# +0$ +08 +0> +0K +#41350000000 +1! +1" +1# +1$ +18 +1> +1K +#41350100000 +#41351100000 +#41360000000 +0! +0" +0# +0$ +08 +0> +0K +#41370000000 +1! +1" +1# +1$ +18 +1> +1K +#41370100000 +#41371100000 +#41380000000 +0! +0" +0# +0$ +08 +0> +0K +#41390000000 +1! +1" +1# +1$ +18 +1> +1K +#41390100000 +#41391100000 +#41400000000 +0! +0" +0# +0$ +08 +0> +0K +#41410000000 +1! +1" +1# +1$ +18 +1> +1K +#41410100000 +#41411100000 +#41420000000 +0! +0" +0# +0$ +08 +0> +0K +#41430000000 +1! +1" +1# +1$ +18 +1> +1K +#41430100000 +#41431100000 +#41440000000 +0! +0" +0# +0$ +08 +0> +0K +#41450000000 +1! +1" +1# +1$ +18 +1> +1K +#41450100000 +#41451100000 +#41460000000 +0! +0" +0# +0$ +08 +0> +0K +#41470000000 +1! +1" +1# +1$ +18 +1> +1K +#41470100000 +#41471100000 +#41480000000 +0! +0" +0# +0$ +08 +0> +0K +#41490000000 +1! +1" +1# +1$ +18 +1> +1K +#41490100000 +#41491100000 +#41500000000 +0! +0" +0# +0$ +08 +0> +0K +#41510000000 +1! +1" +1# +1$ +18 +1> +1K +#41510100000 +#41511100000 +#41520000000 +0! +0" +0# +0$ +08 +0> +0K +#41530000000 +1! +1" +1# +1$ +18 +1> +1K +#41530100000 +#41531100000 +#41540000000 +0! +0" +0# +0$ +08 +0> +0K +#41550000000 +1! +1" +1# +1$ +18 +1> +1K +#41550100000 +#41551100000 +#41560000000 +0! +0" +0# +0$ +08 +0> +0K +#41570000000 +1! +1" +1# +1$ +18 +1> +1K +#41570100000 +#4157110
\ No newline at end of file |
