diff options
Diffstat (limited to 'works/life/computer-organization-experiment/multiplexer_32_2.vhdl')
-rw-r--r-- | works/life/computer-organization-experiment/multiplexer_32_2.vhdl | 12 |
1 files changed, 0 insertions, 12 deletions
diff --git a/works/life/computer-organization-experiment/multiplexer_32_2.vhdl b/works/life/computer-organization-experiment/multiplexer_32_2.vhdl deleted file mode 100644 index 1c7d626..0000000 --- a/works/life/computer-organization-experiment/multiplexer_32_2.vhdl +++ /dev/null @@ -1,12 +0,0 @@ -LIBRARY IEEE; -USE IEEE.STD_LOGIC_1164.ALL; -USE IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity multiplexer_32_2 is - port (A0, A1 : in std_logic_vector(31 downto 0); S : in std_logic; Y : out std_logic_vector(31 downto 0)); -end multiplexer_32_2; - -architecture behaviour of multiplexer_32_2 is -begin - Y <= A0 when S = '0' else A1; -end behaviour; |